Из Википедии, бесплатной энциклопедии
  (Перенаправлен из домена Clock )
Перейти к навигации Перейти к поиску

Иллюстрация метастабильности в синхронизаторе, когда данные пересекаются между доменами часов. В худшем случае, в зависимости от времени, метастабильное состояние в Ds может распространяться на Dout и через следующую логику в большую часть системы, вызывая неопределенное и непоследовательное поведение.

Метастабильность в электронике - это способность цифровой электронной системы неограниченное время оставаться в нестабильном равновесии или метастабильном состоянии. [1] В цифровых логических схемах цифровой сигнал должен находиться в определенных пределах напряжения или тока, чтобы представлять логический уровень «0» или «1».для правильной работы схемы; если сигнал находится в запрещенном промежуточном диапазоне, это может вызвать неправильное поведение логических элементов, к которым применяется сигнал. В метастабильных состояниях схема может быть не в состоянии установить стабильный логический уровень «0» или «1» в течение времени, необходимого для правильной работы схемы. В результате схема может действовать непредсказуемым образом и может привести к сбою системы, который иногда называют «сбоем». [2] Метастабильность - это пример парадокса буридановой задницы .

Метастабильные состояния являются неотъемлемой частью асинхронных цифровых систем и систем с более чем одним независимым тактовым доменом. В самосинхронных асинхронных системах арбитры предназначены для того, чтобы позволить системе работать только после разрешения метастабильности, поэтому метастабильность является нормальным состоянием, а не состоянием ошибки. [3] В синхронных системах с асинхронными входами синхронизаторы предназначены для уменьшения вероятности сбоя синхронизации до приемлемо малой. [4] В полностью синхронных системах метастабильных состояний можно избежать, если соблюдаются требования к настройке входа и времени удержания на триггерах.

Пример [ править ]

Пример защелки Set – Reset NOR

Простой пример метастабильности можно найти в защелке SR NOR , когда оба входа Set и Reset истинны (R = 1 и S = ​​1), а затем оба переходят в false (R = 0 и S = ​​0) примерно с одинаковым значением. время. Оба выхода Q и Q изначально удерживаются на 0 одновременными входами Set и Reset. После того, как оба входа Set и Reset изменятся на false, триггер (в конечном итоге) перейдет в одно из двух стабильных состояний, одно из Q и Q истинно, а другое ложно. Конечное состояние будет зависеть от того, какой из R или S возвращается к нулю первым, в хронологическом порядке, но если оба переходят примерно в одно и то же время, результирующая метастабильность с промежуточными или колебательными уровнями выходного сигнала может занять сколь угодно много времени, чтобы перейти в стабильное состояние.

Арбитры [ править ]

В электронике арбитр - это схема, предназначенная для определения того, какой из нескольких сигналов поступит первым. Арбитры используются в асинхронных схемах для упорядочивания вычислительных действий для общих ресурсов для предотвращения одновременных некорректных операций. Арбитры используются на входах полностью синхронных систем, а также между доменами часов в качестве синхронизаторов входных сигналов. Хотя они могут минимизировать возникновение метастабильности до очень низкой вероятности, все арбитры, тем не менее, имеют метастабильные состояния, которые неизбежны на границах областей входного пространства состояний, приводя к различным выходным данным . [5]

Синхронные схемы [ править ]

Синхронизаторы используются при передаче сигналов между доменами часов. Одна простая конструкция синхронизатора включает простую задержку входного сигнала (data0) из другого тактового домена с использованием нескольких чувствительных к фронту триггеров, которые синхронизируются локально (clock0).

Методы проектирования синхронных схем делают цифровые схемы устойчивыми к режимам отказа, которые могут быть вызваны метастабильностью. Домен синхронизации определяется как группа триггеров с общим тактовым. Такие архитектуры могут формировать схему, гарантированно свободную от метастабильности (ниже определенной максимальной тактовой частоты, выше которой происходит сначала метастабильность, а затем полный отказ), предполагая, что общие тактовые импульсы с малым перекосом . Однако даже тогда, если система зависит от любых непрерывных входов, они, вероятно, будут уязвимы для метастабильных состояний. [6]

Когда используются методы синхронного проектирования, защита от метастабильных событий, вызывающих сбои системы, должна быть обеспечена только при передаче данных между разными доменами часов или из не синхронизированной области в синхронную систему. Эта защита часто может принимать форму серии триггеров задержки, которые задерживают поток данных на достаточно долгое время, чтобы сбои метастабильности происходили с незначительной скоростью.

Режимы отказа [ править ]

Хотя метастабильность хорошо изучена и известны архитектурные методы управления ею, она сохраняется как режим отказа оборудования.

Серьезные ошибки компьютеров и цифрового оборудования, вызванные метастабильностью, имеют увлекательную социальную историю. Многие инженеры отказываются верить, что бистабильное устройство может войти в состояние, которое не является ни истинным, ни ложным, и имеет положительную вероятность того, что оно будет оставаться неопределенным в течение любого заданного периода времени, хотя и с экспоненциально убывающей вероятностью с течением времени. [7] [8] [9] [10] [11] Однако метастабильность - неизбежный результат любой попытки отобразить непрерывную область в дискретную. На границах в непрерывной области между регионами, которые отображаются на разные дискретные выходы, точки, произвольно близко расположенные друг к другу в непрерывной области, отображаются на разные выходы, принимая решение о том, какой выход выбрать сложный и потенциально длительный процесс. [12] Если входные данные для арбитра или триггера поступают почти одновременно, схема, скорее всего, пройдет точку метастабильности. Метастабильность остается плохо изученной в некоторых кругах, и различные инженеры предложили свои собственные схемы, которые, как утверждается, решают или отфильтровывают метастабильность; обычно эти схемы просто переносят возникновение метастабильности из одного места в другое. [13] Микросхемы, использующие несколько источников синхронизации, часто тестируются с помощью тестовых часов, которые имеют фиксированное фазовое соотношение, а не независимых часов, дрейфующих друг за другом, которые будут наблюдаться во время работы. Обычно это явно предотвращает обнаружение или сообщение о метастабильном режиме отказа, который может произойти в полевых условиях. При правильном тестировании на метастабильность часто используются часы с немного разными частотами, что обеспечивает правильную работу схемы.

См. Также [ править ]

  • Аналого-цифровой преобразователь
  • Жопа буридана
  • Асинхронный ЦП
  • Отскок от земли
  • Логика с тремя состояниями

Ссылки [ править ]

  1. ^ Томас Дж. Чейни и Чарльз Э. Мольнар (апрель 1973). «Аномальное поведение схем синхронизатора и арбитра» (PDF) . Транзакции IEEE на компьютерах . С-22 (4): 421–422. DOI : 10.1109 / TC.1973.223730 . ISSN 0018-9340 .  
  2. ^ Чейни, Томас Дж. «Моя работа над всеми метастабильными вещами ИЛИ Я и мой сбой» (PDF) . Архивировано из оригинального (PDF) 08.12.2015 . Проверено 5 ноября 2015 .
  3. ^ Джон Бейнбридж (2002). Межсоединение асинхронной системы на кристалле . Springer. п. 18. ISBN 978-1-85233-598-4.
  4. ^ Чейни, Томас Дж. " " Перепечатка Технического меморандума № 10, "Феномен сбоя" (1966) " " .Вашингтонский университет Сент-Луис, Миссури
  5. ^ Ричард Ф. Тиндер (2009). Проектирование и анализ асинхронных последовательных машин: всестороннее развитие дизайна и анализа независимых от тактовой частоты конечных автоматов и систем . Издатели Morgan & Claypool. п. 165. ISBN 978-1-59829-689-1.
  6. ^ Kleeman, L .; Кантони, А. «Метастабильное поведение в цифровых системах», декабрь 1987 г. ». IEEE Design & Test of Computers . 4 (6): 4–19. Doi : 10.1109 / MDT.1987.295189 .
  7. ^ Харрис, Сара; Харрис, Дэвид (2015). Цифровой дизайн и компьютерная архитектура: ARM Edition . Морган Кауфманн. С. 151–153. ISBN 012800911X.
  8. ^ Гиносар, Ран (2011). «Метастабильность и синхронизаторы: учебное пособие» (PDF) . Центр исследования систем СБИС . Отделение электротехники и информатики, Технион - Израильский технологический институт, Хайфа. , п. 4-6
  9. ^ Xanthopoulos, Фукидид (2009). Тактирование в современных системах СБИС . Springer Science and Business Media. п. 196. ISBN. 1441902619., п. 196, 200, ур. 6–29
  10. ^ "Учебник по метастабильности" (PDF) . Указания по применению AN-219 . Филлипс Полупроводник. 1989 . Проверено 20 января 2017 . CS1 maint: обескураженный параметр ( ссылка )
  11. ^ Arora, Мохит (2011). Искусство аппаратной архитектуры: методы и приемы проектирования цифровых схем . Springer Science and Business Media. ISBN 1461403979., п. 4-5, ур. 1-1
  12. Лесли Лэмпорт (февраль 2012 г.) [декабрь 1984 г.]. «Принцип Буридана» (PDF) . Проверено 9 июля 2010 . CS1 maint: обескураженный параметр ( ссылка )
  13. Ран Гиносар. " Четырнадцать способов обмануть ваш синхронизатор " ASYNC 2003.

Внешние ссылки [ править ]

  • Метастабильность тактовых FIFO
  • "Асинхронная" библиография
  • Асинхронная логика
  • Эффективные самосинхронные интерфейсы для пересечения доменов часов
  • Доктор Ховард Джонсон: Умышленное создание метастабильного состояния
  • Подробные объяснения и конструкции синхронизатора
  • Библиография по метастабильности
  • Пересечение тактовой области: замыкание петли на проблемах функциональной реализации тактовой области, системы проектирования Cadence
  • Стивенсон, Дженнифер. Понимание метастабильности в ПЛИС . Официальный документ Altera Corporation. Июль 2009 г.
  • Бахуханди, Аширвад. Метастабильность. Конспект лекций по продвинутому логическому проектированию и теории коммутации. Январь 2002 г.
  • Каммингс, Клиффорд Э. Синтез и методы написания сценариев для проектирования многоасинхронных часов . SNUG 2001.
  • Хазелофф, Эйльхард. Метастабильный отклик в 5-V логических схем . Отчет Texas Instruments. Февраль 1997 г.
  • Нистром, Мика и Ален Дж. Мартин. Преодоление синхронного асинхронного разрыва . WCED 2002.
  • Патил, Гириш, подразделение БМП, Cadence Design Systems. Проблемы синхронизации часов и методы статической проверки. Техническая конференция Cadence 2004.
  • Смит, Майкл Джон Себастьян. Интегральные схемы для конкретных приложений. Эддисон Уэсли Лонгман, 1997, Глава 6.4.1.
  • Штейн, Майк. Пересечение бездны: асинхронные сигналы в синхронном мире. Особенности проектирования EDN. 24 июля 2003 г.
  • Кокс, Джером Р. и Энгель, Джордж Л., Blendics, Inc. Официальный документ [1] «Метастабильность и фатальные системные ошибки»] ноябрь 2010 г.
  • Адам Тейлор, «Обволакивание мозга вокруг метастабильности» , EE Times, 2013-11-20