Из Википедии, бесплатной энциклопедии
  (Перенаправлено с узла Semiconductor )
Перейти к навигации Перейти к поиску
Чистая комната Исследовательского центра Гленна НАСА

Изготовление полупроводниковых устройств - это процесс, используемый для производства полупроводниковых устройств , как правило, устройств металл-оксид-полупроводник (MOS), используемых в микросхемах интегральных схем (IC), которые присутствуют в бытовых электрических и электронных устройствах. Это многоступенчатая последовательность этапов фотолитографической и химической обработки (таких как пассивация поверхности , термическое окисление , плоская диффузия и изоляция перехода ), во время которой электронные схемы постепенно создаются на пластине из чистогополупроводниковый материал. Кремний используется почти всегда, но различные сложные полупроводники используются для специализированных приложений.

Весь производственный процесс, от начала до упаковки микросхем, готовых к отправке, занимает от шести до восьми недель и выполняется на узкоспециализированных заводах по производству полупроводников , также называемых литейными или фабриками. [1] Все производство происходит в чистой комнате, которая является центральной частью фабрики. В более совершенных полупроводниковых приборах, такие как современные 14 / 10 / радиус 7 нма узлы, изготовление может занять до 15 недель, 11-13 недель , будучи в среднем по отрасли. [2]Производство на современных производственных мощностях полностью автоматизировано и осуществляется в герметичной азотной среде для повышения выхода продукции (процент микрочипов, которые правильно функционируют в пластине), а автоматизированные системы обработки материалов обеспечивают транспортировку пластин от машины к машине. Вафли транспортируются в ФОУПах , специальных герметичных пластиковых ящиках. Все оборудование и FOUP содержат внутреннюю азотную атмосферу. Воздух внутри оборудования и FOUP обычно остается чище, чем окружающий воздух в чистом помещении. Эта внутренняя атмосфера известна как мини-среда. [3] Производственные предприятия нуждаются в большом количестве жидкого азота для поддержания атмосферы внутри производственного оборудования и FOUP, которые постоянно продуваются азотом.[4]

Размер [ править ]

Конкретный полупроводниковый процесс имеет определенные правила относительно минимального размера и расстояния между элементами на каждом слое кристалла. [5] Часто новые полупроводниковые технологии имеют меньшие минимальные размеры и более узкий интервал, что позволяет просто усадить кристалл для снижения затрат и повышения производительности [5], частично за счет увеличения плотности транзисторов (количества транзисторов на квадратный миллиметр). Ранние процессы , полупроводниковые были произвольно [ править ] имена , такие как HMOS III, CHMOS V; более поздние обозначаются размером, например, процессом 90 нм .

По отраслевым стандартам каждое поколение процесса производства полупроводников, также известное как технологический узел или технологический узел [6] , обозначается минимальным размером элемента процесса . Технологические узлы, также известные как «технологические процессы» или просто «узлы», обычно обозначаются размером в нанометрах (или исторически - микрометрах ) длины затвора транзистора процесса . Однако с 1994 года этого не произошло. Первоначально длина затвора транзистора была меньше, чем предполагалось в названии технологического узла (например, узел 350 нм), однако в 2009 году эта тенденция изменилась на противоположную. [7]Нанометры, используемые для обозначения технологических узлов, стали скорее маркетинговым термином, не имеющим отношения ни к фактическим размерам элементов, ни к плотности транзисторов (количество транзисторов на квадратный миллиметр). Например, 10-нм процесс Intel на самом деле имеет характеристики (концы ребер FinFET) с шириной 7 нм, 10-нм процесс Intel по плотности транзисторов аналогичен 7-нм процессам TSMC, в то время как процессы 12 и 14 нм GlobalFoundries имеют аналогичную функцию. размеры. [8] [9] [10]

Список шагов [ править ]

Это список методов обработки, которые многократно используются при создании современного электронного устройства; этот список не обязательно подразумевает конкретный порядок. Оборудование для выполнения этих процессов производится несколькими компаниями . Все оборудование необходимо протестировать перед запуском завода по производству полупроводников. [11]

  • Обработка пластин
    • Влажная чистка
      • Очистка растворителями, такими как ацетон , трихлорэтилен и сверхчистая вода.
      • Раствор пираньи
      • RCA чистый
    • Пассивирование поверхности
    • Фотолитография
    • Ионная имплантация (при которой легирующие примеси внедряются в пластину, создавая области повышенной или пониженной проводимости)
    • Офорт (микротехнология)
      • Сухое травление ( плазменное травление )
        • Реактивное ионное травление (РИЭ)
          • Глубокое реактивно-ионное травление
          • Травление атомного слоя (ALE)
      • Мокрое травление
        • Буферное оксидное травление
    • Плазменное озоление
    • Термические процедуры
      • Быстрый термический отжиг
      • Отжиг в печи
      • Термическое окисление
    • Химическое осаждение из паровой фазы (CVD)
    • Осаждение атомного слоя (ALD)
    • Физическое осаждение из паровой фазы (PVD)
    • Молекулярно-лучевая эпитаксия (МБЭ)
    • Лазерный отрыв (для производства светодиодов [12] )
    • Электрохимическое осаждение (ECD). См. Гальваническое покрытие
    • Химико-механическое полирование (CMP)
    • Тестирование пластины (когда электрические характеристики проверяются с помощью автоматического испытательного оборудования , на этом этапе также могут выполняться биннинг и / или лазерная обрезка )
  • Подготовка штампа
    • Сквозной кремний через производство (для трехмерных интегральных схем )
    • Монтаж вафли (вафля крепится к металлическому каркасу с помощью ленты Dicing )
    • Вафли backgrinding и полировка [13] (уменьшает толщину пластины для тонких устройств , таких как смарт - карты или карты PCMCIA или вафельной приклеивания и укладок, это также может произойти во время пластин перетасовки, в процессе , известном как Dice Перед Grind или ДБГ [14] [15] )
    • Соединение и укладка пластин (для трехмерных интегральных схем и МЭМС )
    • Производство уровня распространения (для пакетов WLCSP )
    • Подкладка пластины (для корпусов Flip chip BGA ( Ball grid array ) и WLCSP)
    • Высечка или нарезка вафель
  • Упаковка IC
    • Крепление матрицы (Матрица прикрепляется к рамке выводов с помощью токопроводящей пасты или пленки для прикрепления матрицы [16] [17] )
    • Связывание ИС: соединение проводов , термозвуковое соединение , флип-чип или автоматическое соединение лентой (TAB)
    • Инкапсуляция ИС или установка встроенного теплоотвода (IHS)
      • Формовка (с использованием специального формовочного состава, который может содержать стеклянный порошок в качестве наполнителя)
      • Выпечка
      • Гальванические (пластины на медные провода от выводных рамок с оловом , чтобы сделать пайки легче)
      • Лазерная маркировка или шелкография
      • Обрезка и форма (отделяет выводные рамки друг от друга и изгибает штифты выводной рамки, чтобы их можно было установить на печатной плате )
  • IC тестирование

Могут быть выполнены дополнительные этапы, такие как травление Райта .

Прогресс миниатюризации и сравнение размеров узлов процесса производства полупроводников с некоторыми микроскопическими объектами и длинами волн видимого света.

Предотвращение загрязнения и дефектов [ править ]

Когда ширина элементов была намного больше, чем примерно 10 микрометров , чистота полупроводников не была такой большой проблемой, как сегодня при производстве устройств. По мере того, как устройства становятся более интегрированными, чистые помещения должны становиться еще чище. Сегодня производственные предприятия подвергаются сжатию фильтрованным воздухом, чтобы удалить даже мельчайшие частицы, которые могут осесть на пластинах и привести к дефектам. В потолках чистых помещений с полупроводниковыми приборами установлены вентиляторные фильтры.(FFU) через регулярные промежутки времени для постоянной замены и фильтрации воздуха в чистом помещении; полупроводниковое основное оборудование также может иметь свои собственные FFU. FFU в сочетании с фальшполами с решетками помогают обеспечить ламинарный поток воздуха, чтобы гарантировать, что частицы немедленно опускаются на пол и не остаются взвешенными в воздухе из-за турбулентности. Рабочие на предприятии по производству полупроводников должны носить костюмы для чистых помещений, чтобы защитить устройства от заражения человеком . Чтобы предотвратить окисление и увеличить выход продукции, FOUP и основное полупроводниковое оборудование могут иметь герметичную среду из чистого азота с уровнем пыли класса 1 по ISO. FOUPs и SMIFкапсулы изолируют пластины от воздуха в чистом помещении, увеличивая выход продукции, поскольку они уменьшают количество дефектов, вызванных частицами пыли. Кроме того, Fabs имеет как можно меньше людей в чистом помещении, чтобы облегчить поддержание чистоты в помещении, поскольку люди, даже в костюмах для чистых помещений, выделяют большое количество частиц, особенно при ходьбе. [18] [19] [20]

Вафли [ править ]

Типичная пластина изготовлена из очень чистого кремния , который выращивает в монокристаллические цилиндрических слитках ( буль ) до 300 мм (чуть менее 12 дюймов) в диаметре , используя процесс Чохральского . Затем эти слитки нарезают на пластины толщиной около 0,75 мм и полируют до получения очень ровной и плоской поверхности.

Обработка [ править ]

При изготовлении полупроводниковых устройств различные этапы обработки делятся на четыре основные категории: осаждение, удаление, формирование рисунка и изменение электрических свойств.

  • Осаждение - это любой процесс, при котором материал растет, покрывается или иным образом переносится на пластину. Доступные технологии включают физическое осаждение из паровой фазы (PVD), химическое осаждение из паровой фазы (CVD), электрохимическое осаждение (ECD), молекулярно-лучевую эпитаксию (MBE) и, в последнее время, осаждение атомных слоев (ALD) и другие. Под осаждением можно понимать образование оксидного слоя путем термического окисления или, более конкретно, LOCOS .
  • Удаление - это любой процесс удаления материала с пластины; Примеры включают процессы травления ( мокрого или сухого ) и химико-механическую планаризацию (CMP).
  • Создание рисунка - это формирование или изменение осажденных материалов, обычно называемое литографией . Например, в традиционной литографии пластина покрывается химическим веществом, называемым фоторезистом ; затем машина, называемая шаговым двигателем, фокусирует, выравнивает и перемещает маску , подвергая выбранные части пластины внизу коротковолновому свету; открытые участки смываются раствором проявителя. После травления или другой обработки оставшийся фоторезист удаляется «сухим» плазменным озолением (зачистка или полоска фоторезиста). Фоторезист можно также удалить с помощью влажных химических процессов, которые покрывают пластину жидкостью для удаления фоторезиста. [21]
  • Изменение электрических свойств исторически влекло за собой легирование источников и стоков транзисторов (первоначально с помощью диффузионных печей, а затем с помощью ионной имплантации ). За этими процессами легирования следует отжиг в печи или, в современных устройствах, быстрый термический отжиг (RTA); отжиг служит для активации имплантированных присадок. Модификация электрических свойств теперь также распространяется на снижение диэлектрической проницаемости материала в изоляторах с низким значением k за счет воздействия ультрафиолетового света при УФ-обработке (UVP). Модификация часто достигается окислением, которые могут быть выполнены для создания переходов полупроводник-изолятор, например, при локальном окислении кремния ( LOCOS ) для изготовления полевых транзисторов на основе оксидов металлов .

Современные чипы содержат до одиннадцати или более уровней металла, производимых более чем за 300 или более последовательных этапов обработки.

Обработка Front-end-of-line (FEOL) [ править ]

Обработка FEOL относится к формированию транзисторов непосредственно в кремнии . Необработанная пластина создается путем выращивания сверхчистого, практически бездефектного слоя кремния посредством эпитаксии . В большинстве развитых логических устройств , перед стадией кремния эпитаксии, приемы выполняются с целью повышения производительности транзисторов , которые будут построены. Один метод включает введение стадии деформации, на которой осаждается вариант кремния, такой как кремний-германий (SiGe). После осаждения эпитаксиального кремния кристаллическая решетка несколько растягивается, что приводит к улучшенной электронной подвижности. Другой метод, называемыйТехнология кремния на изоляторе включает введение изолирующего слоя между необработанной кремниевой пластиной и тонким слоем последующей эпитаксии кремния. В результате этого метода создаются транзисторы с уменьшенным паразитным воздействием .

Оксид ворот и имплантаты [ править ]

За проектированием внешней поверхности следует рост диэлектрика затвора (традиционно диоксида кремния ), формирование рисунка затвора, формирование рисунка областей истока и стока и последующая имплантация или диффузия легирующих добавок для получения желаемых дополнительных электрических свойств. В устройствах с динамической памятью с произвольным доступом (DRAM) в это время также производятся накопительные конденсаторы , как правило, штабелированные над транзистором доступа (ныне несуществующий производитель DRAM Qimonda реализовал эти конденсаторы с углублениями, вытравленными глубоко в поверхности кремния).

Внутренняя обработка (BEOL) [ править ]

Металлические слои [ править ]

После создания различных полупроводниковых устройств их необходимо соединить между собой, чтобы сформировать желаемые электрические цепи. Это происходит в серии этапов обработки полупроводниковых пластин, которые в совокупности называются BEOL (не путать с внутренним этапом изготовления микросхем, который относится к этапам упаковки и тестирования). Обработка BEOL включает создание металлических соединительных проводов, которые изолированы слоями диэлектрика. Изоляционный материал традиционно был формой SiO 2 или силикатного стекла , но в последнее время используются новые материалы с низкой диэлектрической проницаемостью (такие как оксикарбид кремния), обычно обеспечивающие диэлектрическую проницаемость около 2,7 (по сравнению с 3,82 для SiO 2.), хотя производителям микросхем предлагаются материалы с константами до 2,2. Вместо этого можно использовать диэлектрики с высоким κ .

Соединить [ править ]

Синтетическая деталь стандартной ячейки через четыре слоя соединительного элемента из планаризованной меди, включая поликремний (розовый), лунки (серые) и подложку (зеленый).

Исторически металлические провода изготавливались из алюминия . При таком подходе к электромонтажу (часто называемому субтрактивным алюминием ) сначала наносятся защитные пленки из алюминия, формируются узор, а затем протравливаются, оставляя изолированные провода. Затем на оголенные провода наносится диэлектрический материал. Различные металлические слои соединены друг с другом путем травления отверстий (называемых « переходных отверстий») в изоляционном материале , а затем осаждение вольфрама в них с CVD методом с использованием гексафторида вольфрама ; этот подход до сих пор используется при производстве многих микросхем памяти, таких как динамическая память с произвольным доступом. (DRAM), потому что количество уровней межсоединений невелико (в настоящее время не более четырех).

В последнее время, когда количество уровней межсоединений для логики существенно увеличилось из-за большого количества транзисторов, которые теперь соединены между собой в современном микропроцессоре , временная задержка в проводке стала настолько значительной, что потребовала изменения материала проводки (с межсоединительный слой алюминия и меди ) и изменение диэлектрического материала (с диоксида кремния на более новые изоляторы с низким содержанием K ). Это повышение производительности также происходит по сниженной цене благодаря Damascene.обработка, которая исключает этапы обработки. По мере увеличения количества уровней межсоединения требуется выравнивание предыдущих слоев для обеспечения ровной поверхности перед последующей литографией. Без него уровни стали бы все более искривленными, выходящими за пределы глубины резкости доступной литографии и, таким образом, препятствуя способности создавать узор. CMP ( химико-механическая планаризация ) - это основной метод обработки для достижения такой планаризации, хотя сухое обратное травление все еще иногда используется, когда количество уровней межсоединений не превышает трех. В медных межсоединениях используется электропроводящий барьерный слой для предотвращения диффузии меди в окружающую среду («отравления»).

Вафельный тест [ править ]

Высокосерийный характер обработки пластин увеличил потребность в метрологии между различными этапами обработки. Например, метрология тонких пленок, основанная на эллипсометрии или рефлектометрии , используется для точного контроля толщины оксида затвора, а также толщины, показателя преломления и коэффициента экстинкции фоторезиста и других покрытий. Метрологическое оборудование для испытаний пластин используется для проверки того, что пластины не были повреждены на предыдущих этапах обработки вплоть до испытания; если слишком много штампов на одной пластине вышли из строя, вся пластина утилизируется, чтобы избежать затрат на дальнейшую обработку. Виртуальная метрологиябыл использован для прогнозирования свойств пластины на основе статистических методов без выполнения самих физических измерений. [1]

Тест устройства [ править ]

После завершения процесса предварительной обработки полупроводниковые устройства или микросхемы подвергаются различным электрическим испытаниям, чтобы определить, правильно ли они функционируют. Процент устройств на пластине, которые работают правильно, называется доходностью . Производители обычно скрывают свой доход, но он может составлять всего 30%, а это означает, что только 30% чипов на пластине работают должным образом. Вариации процесса - одна из многих причин низкого выхода. [22] Испытания проводятся для предотвращения сборки микросхем в относительно дорогие корпуса.

Выход часто, но не обязательно, зависит от размера устройства (кристалла или микросхемы). Например, в декабре 2019 года TSMC объявила о среднем выходе ~ 80% с пиковым выходом на пластину> 90% для своих 5-нм тестовых чипов с размером кристалла 17,92 мм 2 . Выход снизился до 32,0% при увеличении размера матрицы до 100 мм 2 . [23]

Фаб тестирует микросхемы на вафлес помощью электронного тестера, который прижимает крошечные щупы к чипу. Машина помечает каждую неисправную стружку каплей красителя. В настоящее время возможна электронная маркировка красителем, если данные (результаты) теста пластины регистрируются в базе данных центрального компьютера, а микросхемы «объединяются» (т. Е. Сортируются в виртуальные ячейки) в соответствии с заранее определенными пределами тестирования, такими как максимальные рабочие частоты / тактовая частота, количество рабочих (полностью функциональных) ядер на микросхему и т. д. Полученные данные биннинга могут быть графически или записаны на карту пластин, чтобы отслеживать производственные дефекты и отмечать неисправные микросхемы. Эту карту также можно использовать во время сборки и упаковки пластин. Биннинг позволяет повторно использовать микросхемы, которые в противном случае были бы отклонены, в продуктах более низкого уровня, как в случае с графическими и центральными процессорами, что увеличивает производительность устройств.тем более, что очень немногие микросхемы полностью функциональны (например, все ядра работают правильно).eFUSE могут использоваться для отключения частей микросхем, таких как ядра, либо потому, что они не работали должным образом во время биннинга, либо как часть сегментации рынка (с использованием одного и того же чипа для нижнего, среднего и верхнего уровней). У чипов могут быть запасные части, позволяющие чипу полностью пройти тестирование, даже если у него есть несколько нерабочих частей.

Чипы также проходят повторные испытания после упаковки, поскольку могут отсутствовать соединительные провода или упаковка может изменить аналоговые характеристики. Это называется «финальным тестом». Чипы также можно визуализировать с помощью рентгеновских лучей.

Обычно фабрика берет плату за время тестирования, причем цены составляют порядка центов за секунду. Время тестирования варьируется от нескольких миллисекунд до пары секунд, а тестовое программное обеспечение оптимизировано для сокращения времени тестирования. Тестирование на нескольких микросхемах (на нескольких участках) также возможно, поскольку у многих тестировщиков есть ресурсы для выполнения большей части или всех тестов параллельно и на нескольких микросхемах одновременно.

Микросхемы часто имеют «возможности тестирования», такие как цепи сканирования или « встроенное самотестирование », чтобы ускорить тестирование и снизить затраты на тестирование. В некоторых конструкциях, в которых используются специализированные аналоговые производственные процессы, пластины также подвергаются лазерной обрезке во время тестирования, чтобы достичь плотно распределенных значений сопротивления, как указано в конструкции.

Хорошие проекты пытаются тестировать и статистически управлять углами (крайности поведения кремния, вызванные высокой рабочей температурой в сочетании с экстремальными этапами производственной обработки). Большинство конструкций справляются как минимум с 64 углами.

Выход устройства [ править ]

Выход устройства или выход кристалла - это количество рабочих микросхем или кристаллов на пластине, выраженное в процентах, поскольку количество микросхем на пластине (кристалл на пластину, DPW) может варьироваться в зависимости от размера кристалла и диаметра пластины. Снижение урожайности - это снижение выхода, которое исторически было вызвано в основном частицами пыли, однако с 1990-х годов снижение урожайности в основном вызвано изменением процесса, самим процессом и инструментами, используемыми при производстве микросхем, хотя пыль по-прежнему остается проблемой в производстве микросхем. много старых фабрик. Частицы пыли имеют все большее влияние на выход продукции, поскольку размеры элементов уменьшаются с помощью новых технологий. Автоматизация и использование мини-сред внутри производственного оборудования, FOUP и SMIF позволили снизить количество дефектов, вызванных частицами пыли.Выход устройства должен быть высоким, чтобы снизить отпускную цену рабочих микросхем, поскольку рабочие микросхемы должны платить за те микросхемы, которые вышли из строя, а также для снижения стоимости обработки пластин. Урожайность также может зависеть от конструкции и работы фабрики.

Для увеличения урожайности необходим жесткий контроль за загрязнителями и производственным процессом. Загрязняющие вещества могут быть химическими загрязнителями или частицами пыли. «Убийственные дефекты» - это дефекты, вызванные частицами пыли, которые вызывают полный отказ устройства (например, транзистора). Есть и безобидные дефекты. Чтобы вызвать серьезный дефект, частица должна быть 1/5 размера элемента. Таким образом, если размер элемента составляет 100 нм, то частица должна быть размером всего 20 нм, чтобы вызвать серьезный дефект. Электростатическое электричество также может отрицательно повлиять на урожайность. Химические загрязнители или примеси включают тяжелые металлы, такие как железо, медь, никель, цинк, хром, золото, ртуть и серебро, щелочные металлы, такие как натрий, калий и литий, и такие элементы, как алюминий, магний, кальций, хлор, сера, углерод. , и фтор.Важно, чтобы эти элементы не оставались в контакте с кремнием, поскольку они могут снизить выход продукции. Для удаления этих элементов из кремния можно использовать химические смеси; разные смеси эффективны против разных элементов.

Для оценки урожайности используется несколько моделей. Это модель Мерфи, модель Пуассона, биномиальная модель, модель Мура и модель Сидса. Универсальной модели нет; модель должна быть выбрана на основе фактического распределения выхода (расположение дефектных чипов). Например, модель Мерфи предполагает, что потеря выхода происходит больше на краях пластины (нерабочие чипы сосредоточены на краях пластины), Модель Пуассона предполагает, что дефектные матрицы распределены по пластине относительно равномерно, а модель Сидса предполагает, что дефектные матрицы сгруппированы вместе. [24]

Меньшие фильеры требуют меньших затрат на производство (поскольку они больше подходят для пластин, а пластины обрабатываются и оцениваются в целом) и могут помочь в достижении более высоких выходов, поскольку меньшие фильеры имеют меньшую вероятность возникновения дефекта из-за их меньшей площади поверхности. вафля. Однако для меньших матриц требуются меньшие элементы для достижения тех же функций, что и для более крупных матриц, или для их превосходства, а для меньших элементов требуются меньшие вариации процесса и повышенная чистота (меньшее загрязнение) для поддержания высоких выходов. Инструменты метрологии используются для проверки пластин в процессе производства и прогнозирования выхода продукции, поэтому пластины, в которых будет обнаружено слишком много дефектов, могут быть списаны, чтобы сэкономить на затратах на обработку. [25]

Подготовка штампа [ править ]

После испытания пластину обычно уменьшают по толщине в процессе, также известном как «обратное перекрытие», [26] «обратная чистка» или «утонение пластины» [27], прежде чем пластина будет надрезана и затем разбита на отдельные матрицы, процесс, известный как нарезка вафель . Упаковываются только хорошие чипы без маркировки.

Упаковка [ править ]

Пластиковая или керамическая упаковка включает установку штампа, соединение подушек штампа со штырями на корпусе и герметизацию штампа. Крошечные проволоки используются для соединения контактных площадок с контактами. В былые времена [ когда? ] , провода крепились вручную, но теперь эту задачу выполняют специализированные машины. Традиционно эти провода были составлены из золота, что приводит к выводной рамке (произносится «ДМЭ кадра») из припоя -plated меди; Свинец ядовит, поэтому "свинцовые рамки" теперь требуются RoHS .

Пакетирование чипов (CSP) - еще одна технология упаковки. Пластиковый двухрядный корпус , как и большинство корпусов, во много раз больше, чем фактический кристалл, спрятанный внутри, тогда как чипы CSP почти равны размеру кристалла; CSP может быть сконструирован для каждого кристалла до того, как пластина будет разрезана на кубики.

Упакованные микросхемы повторно проверяются, чтобы убедиться, что они не были повреждены во время упаковки и что операция межсоединения между кристаллами и контактами была выполнена правильно. Затем лазер наносит название и номер чипа на упаковку.

Опасные материалы [ править ]

В процессе изготовления используется много токсичных материалов. [28] К ним относятся:

  • ядовитые элементарные примеси , такие как мышьяк , сурьма и фосфор .
  • ядовитые соединения, такие как арсин , фосфин , гексафторид вольфрама и силан .
  • высокореакционные жидкости, такие как перекись водорода , дымящая азотная кислота , серная кислота и плавиковая кислота .

Жизненно важно, чтобы рабочие не подвергались прямому воздействию этих опасных веществ. Высокая степень автоматизации, характерная для индустрии изготовления ИС, помогает снизить риски воздействия. На большинстве производственных предприятий используются системы управления выхлопными газами, такие как мокрые скрубберы, камеры сгорания, патроны с подогревом абсорбера и т. Д., Для контроля риска для рабочих и окружающей среды.

Хронология демонстраций MOSFET [ править ]


PMOS и NMOS [ править ]

CMOS (одностворчатый) [ править ]

MOSFET с несколькими затворами (MuGFET) [ править ]

Другие типы полевых МОП-транзисторов [ править ]

Хронология коммерческих узлов MOSFET [ править ]

См. Также [ править ]

  • Deathnium
  • Список примеров полупроводниковой шкалы
  • МОП-транзистор
    • CMOS
  • Многопользовательское устройство
    • FinFET
  • Полупроводниковая промышленность
    • Литейная модель
    • Лидеры продаж полупроводникового оборудования по годам
  • Международная технологическая дорожная карта для полупроводников
  • Консолидация полупроводников
  • Локальное окисление кремния (LOCOS)
  • Список производителей интегральных схем
  • Список заводов по производству полупроводников
  • Микрофабрикация
  • Semiconductor Equipment and Materials International (SEMI) - торговая ассоциация полупроводниковой промышленности
  • Шрифт SEMI для этикеток на вафлях
  • Плотность ямок травления
  • Пассивация
  • Планарный процесс
  • Количество транзисторов

Ссылки [ править ]

  1. ^ a b Группа нейротехнологий, Берлинский технологический институт, цифровая библиотека IEEE Xplore. « Методы регрессии для виртуальной метрологии толщины слоя при химическом осаждении из паровой фазы ». 17 января, 2014. Проверено 9 ноября, 2015.
  2. ^ «8 вещей, которые вы должны знать о воде и полупроводниках» . ChinaWaterRisk.org . Проверено 10 сентября 2017 .
  3. ^ Куре, Т .; Ханаока, Хидео; Sugiura, T .; Накагава, С. (23 октября 2007 г.). «Технологии чистых помещений в эпоху мини-окружающей среды» . www.semanticscholar.org . S2CID 30883737 . 
  4. ^ "Система продувки FOUP - Fabmatics: Автоматизация производства полупроводников" . www.fabmatics.com .
  5. ^ а б Кен Ширрифф. «Уменьшение размера кристалла: как Intel уменьшила размер процессора 8086» . 2020.
  6. ^ https://en.wikichip.org/wiki/technology_node#:~:text=The%20technology%20node%20(also%20process,process%20and%20its%20design%20rules.&text=Generally%2C%20the% 20Меньше% 20% 20технология, быстрее% 20и% 20больше% 20Энергосбережение .
  7. ^ "Полная перезагрузка страницы" . IEEE Spectrum: Новости технологий, инженерии и науки .
  8. ^ Катресс, Ян. «Глубокий обзор 10-нм Intel Cannon Lake и Core i3-8121U» . www.anandtech.com .
  9. ^ https://fuse.wikichip.org/news/1497/vlsi-2018-globalfoundries-12nm-leading-performance-12lp/
  10. Ридли, Джейкоб (29 апреля 2020 г.). «Intel 10nm не больше, чем AMD 7nm, вы просто ошибаетесь» .
  11. ^ «Отключение электроэнергии частично останавливает завод по производству микросхем Toshiba Memory» . Рейтер . 21 июня 2019 г. - на сайте www.reuters.com.
  12. ^ "Laser Lift-Off (LLO) Идеально подходит для производства вертикальных светодиодов высокой яркости - Пресс-релиз - DISCO Corporation" . www.disco.co.jp .
  13. ^ «Информация о продукте | Полировщики - DISCO Corporation» . www.disco.co.jp .
  14. ^ «Информация о продукте | DBG / Package Singular - DISCO Corporation» . www.disco.co.jp .
  15. ^ "Плазменные кубики (кубики перед измельчением) | Orbotech" . www.orbotech.com .
  16. ^ "Электропроводящая пленка для прикрепления кристаллов (в разработке) | Nitto" . www.nitto.com .
  17. ^ "Die Attach Film Adhesives" . www.henkel-adhesives.com .
  18. ^ «Система ASYST SMIF - интегрирована с Tencor Surfscan 7200» . История чипов .
  19. ^ "Исследование выделения человеческих частиц" . www.cleanroomtechnology.com .
  20. ^ «Как создается чип: посещение GlobalFoundries» . PCMag Asia . 15 февраля 2018.
  21. ^ «Процедуры очистки пластин; снятие фоторезиста или резиста; удаление пленок и частиц» . www.eesemi.com .
  22. ^ « Обзор архитектурных методов для управления изменением процесса », ACM Computing Surveys , 2015
  23. ^ Катресс, доктор Ян. «Выход первых 5-нанометровых тестовых чипов TSMC составляет 80%, HVM появится в первом полугодии 2020 года» . www.anandtech.com .
  24. ^ https://dokumente.unibw.de/pub/bscw.cgi/d10465215/%C3%9Cbung-1.pdf
  25. ^ http://smithsonianchips.si.edu/ice/cd/CEICM/SECTION3.pdf
  26. ^ «Введение в полупроводниковую технологию» (PDF) . STMicroelectronics . п. 6.
  27. ^ "Вафельный фон" . eesemi.com .
  28. ^ CNET. « Почему технологическое загрязнение становится глобальным ». 25 апреля 2002 г. Проверено 9 ноября 2015 г.
  29. ^ a b c "Ангстрем" . Словарь английского языка Коллинза . Проверено 2 марта 2019 .
  30. ^ Зи, Саймон М. (2002). Полупроводниковые приборы: физика и технология (PDF) (2-е изд.). Вайли . п. 4. ISBN  0-471-33372-7.
  31. ^ Аталла, Мохамед М .; Канг, Давон (июнь 1960 г.). "Кремний – диоксид кремния поверхностные устройства, индуцированные полем". Конференция IRE-AIEE по исследованию твердотельных устройств . Издательство Университета Карнеги-Меллона .
  32. ^ Войнигеску, Сорин (2013). Высокочастотные интегральные схемы . Издательство Кембриджского университета . п. 164. ISBN 9780521873024.
  33. ^ Сах, Чжи-Тан ; Лейстико, Отто; Grove, AS (май 1965 г.). «Подвижности электронов и дырок в инверсионных слоях на термически окисленных поверхностях кремния» . Транзакции IEEE на электронных устройствах . 12 (5): 248–254. Bibcode : 1965ITED ... 12..248L . DOI : 10,1109 / Т-ED.1965.15489 .
  34. ^ Деннард, Роберт Х .; Gaensslen, Fritz H .; Ю, Хва-Ниен; Кун, Л. (декабрь 1972 г.). «Разработка микронных коммутационных устройств MOS». 1972 г. Международная конференция по электронным устройствам : 168–170. DOI : 10.1109 / IEDM.1972.249198 .
  35. ^ а б Хори, Рёичи; Масуда, Хироо; Минато, Осаму; Нисимацу, Сигеру; Сато, Кикудзи; Кубо, Масахару (сентябрь 1975 г.). «Короткоканальная МОП-ИС, основанная на точной конструкции двумерного устройства». Японский журнал прикладной физики . 15 (S1): 193. DOI : 10,7567 / JJAPS.15S1.193 . ISSN 1347-4065 . 
  36. ^ Critchlow, DL (2007). «Воспоминания о масштабировании MOSFET» . Информационный бюллетень IEEE Solid-State Circuits Society . 12 (1): 19–22. DOI : 10.1109 / N-SSC.2007.4785536 .
  37. ^ «1970-е: Развитие и эволюция микропроцессоров» (PDF) . Японский музей истории полупроводников . Проверено 27 июня 2019 .
  38. ^ "NEC 751 (uCOM-4)" . Страница коллекционера антикварных фишек. Архивировано из оригинала на 2011-05-25 . Проверено 11 июня 2010 .
  39. ^ "1973: 12-битный микропроцессор управления двигателем (Toshiba)" (PDF) . Японский музей истории полупроводников . Проверено 27 июня 2019 .
  40. ^ Belzer, Джек; Хольцман, Альберт Г .; Кент, Аллен (1978). Энциклопедия компьютерных наук и технологий: Том 10 - Линейная и матричная алгебра микроорганизмов: компьютерная идентификация . CRC Press . п. 402. ISBN. 9780824722609.
  41. ^ Деннард, Роберт Х .; Gaensslen, FH; Ю, Хва-Ниен; Rideout, VL; Bassous, E .; ЛеБлан, АР (октябрь 1974 г.). «Дизайн ионно-имплантированных МОП-транзисторов с очень маленькими физическими размерами» (PDF) . Журнал IEEE по твердотельным схемам . 9 (5): 256–268. Bibcode : 1974IJSSC ... 9..256D . CiteSeerX 10.1.1.334.2417 . DOI : 10.1109 / JSSC.1974.1050511 . S2CID 283984 .   
  42. Кубо, Масахару; Хори, Рёичи; Минато, Осаму; Сато, Кикудзи (февраль 1976 г.). «Схема контроля порогового напряжения для интегральных схем MOS с коротким каналом». 1976 Международная конференция IEEE по твердотельным схемам. Сборник технических статей . XIX : 54–55. DOI : 10.1109 / ISSCC.1976.1155515 . S2CID 21048622 . 
  43. ^ «Краткое руководство по микропроцессору Intel» . Intel . Проверено 27 июня 2019 .
  44. ^ Хантер, Уильям Р .; Ephrath, LM; Крамер, Алиса; Гробман, WD; Осберн, СМ; Краудер, BL; Лун, HE (апрель 1979 г.). «Технология 1 / spl mu / m MOSFET VLSI. V. Одноуровневая технология поликремния с использованием электронно-лучевой литографии». Журнал IEEE по твердотельным схемам . 14 (2): 275–281. DOI : 10.1109 / JSSC.1979.1051174 . S2CID 26389509 . 
  45. Кобаяси, Тошио; Хоригути, Сэйдзи; Киучи, К. (декабрь 1984 г.). «Глубоко-субмикронные характеристики полевого МОП-транзистора с оксидом затвора 5 нм». 1984 Международное совещание по электронным устройствам : 414–417. DOI : 10.1109 / IEDM.1984.190738 . S2CID 46729489 . 
  46. Кобаяси, Тошио; Хоригути, Сэйдзи; Miyake, M .; Ода, М .; Киучи, К. (декабрь 1985 г.). «Чрезвычайно высокая крутизна (более 500 мСм / мм) MOSFET с оксидом затвора 2,5 нм». 1985 Международное совещание по электронным устройствам : 761–763. DOI : 10.1109 / IEDM.1985.191088 . S2CID 22309664 . 
  47. ^ Чжоу, Стивен Ю.; Антониадис, Дмитрий А .; Смит, Генри I. (декабрь 1985 г.). «Наблюдение за выбросом скорости электронов в полевых МОП-транзисторах с каналом менее 100 нм в кремнии». Письма об электронных устройствах IEEE . 6 (12): 665–667. Bibcode : 1985IEDL .... 6..665C . DOI : 10.1109 / EDL.1985.26267 . S2CID 28493431 . 
  48. ^ а б Чоу, Стивен Ю.; Смит, Генрих I; Антониадис, Дмитрий А. (январь 1986 г.). «Транзисторы с длиной канала менее 100 нм, изготовленные с использованием рентгеновской литографии». Журнал вакуумной науки и технологий B: Обработка и явления микроэлектроники . 4 (1): 253–255. Bibcode : 1986JVSTB ... 4..253C . DOI : 10.1116 / 1.583451 . ISSN 0734-211X . 
  49. Кобаяси, Тошио; Miyake, M .; Дегучи, К .; Kimizuka, M .; Хоригути, Сэйдзи; Киучи, К. (1987). «Полевые микронные полевые МОП-транзисторы с p-каналом и оксидом затвора 3,5 нм, изготовленные с использованием рентгеновской литографии». Письма об электронных устройствах IEEE . 8 (6): 266–268. Bibcode : 1987IEDL .... 8..266M . DOI : 10.1109 / EDL.1987.26625 . S2CID 38828156 . 
  50. Оно, Мизуки; Сайто, Масанобу; Ёситоми, Такаши; Фигна, Клаудио; Огуро, Тацуя; Иваи, Хироши (декабрь 1993 г.). «N-МОП-транзисторы с длиной затвора менее 50 нм с фосфорными переходами истока и стока 10 нм». Труды Международной конференции по электронным устройствам IEEE : 119–122. DOI : 10.1109 / IEDM.1993.347385 . ISBN 0-7803-1450-6. S2CID  114633315 .
  51. ^ Каваура, Хисао; Сакамото, Тосицугу; Баба, Тошио; Очиай, Юкинори; Фудзита, Дзюнъити; Мацуи, Синдзи; Соне, Дзюнъити (1997). «Предложение MOSFET с псевдоисточником и стоком для оценки полевых МОП-транзисторов с длиной волны 10 нм». Японский журнал прикладной физики . 36 (3S): 1569. Bibcode : 1997JaJAP..36.1569K . DOI : 10,1143 / JJAP.36.1569 . ISSN 1347-4065 . 
  52. ^ Ахмед, Халед З .; Ibok, Effiong E .; Сон, Мирён; Да, Джеффри; Сян, Ци; Bang, Дэвид С .; Линь, Мин-Рен (1998). «Производительность и надежность полевых МОП-транзисторов размером менее 100 нм с ультратонкими оксидами на затворе прямого туннелирования». Симпозиум 1998 г. по технологии СБИС Сборник технических документов (Кат. № 98CH36216) : 160–161. DOI : 10.1109 / VLSIT.1998.689240 . ISBN 0-7803-4770-6. S2CID  109823217 .
  53. ^ Ахмед, Халед З .; Ibok, Effiong E .; Сон, Мирён; Да, Джеффри; Сян, Ци; Bang, Дэвид С .; Линь, Мин-Рен (1998). «Полевые МОП-транзисторы с длиной волны менее 100 нм с прямым туннелированием термических оксидов азота и азота». Дайджест 56-й ежегодной конференции по исследованиям устройств (каталожный номер 98TH8373) : 10–11. DOI : 10,1109 / DRC.1998.731099 . ISBN 0-7803-4995-4. S2CID  1849364 .
  54. ^ Дорис, Брюс Б .; Dokumaci, Omer H .; Ieong, Meikei K .; Мокута, Анда; Чжан, Инь; Канарский, Томас С .; Рой, РА (декабрь 2002 г.). «Экстремальное масштабирование с помощью ультратонких полевых МОП-транзисторов с кремниевым каналом». Дайджест. Международная конференция по электронным устройствам : 267–270. DOI : 10.1109 / IEDM.2002.1175829 . ISBN 0-7803-7462-2. S2CID  10151651 .
  55. ^ a b c Швирц, Франк; Вонг, Хей; Лиу, Джуин Дж. (2010). Нанометр CMOS . Пэн Стэнфорд Паблишинг. п. 17. ISBN 9789814241083.
  56. ^ "IBM заявляет, что самый маленький в мире кремниевый транзистор - TheINQUIRER" . Theinquirer.net . 2002-12-09 . Проверено 7 декабря 2017 года .
  57. ^ a b Вакабаяси, Хитоши; Ямагами, Шигехару; Икэдзава, Нобуюки; Огура, Ацуши; Нарихиро, Мицуру; Arai, K .; Ochiai, Y .; Takeuchi, K .; Ямамото, Т .; Могами, Т. (декабрь 2003 г.). «Планарно-объемные КМОП-устройства размером менее 10 нм с контролем бокового перехода». IEEE International Electron Devices Meeting 2003 : 20.7.1–20.7.3. DOI : 10.1109 / IEDM.2003.1269446 . ISBN 0-7803-7872-5. S2CID  2100267 .
  58. ^ «1963: Изобретена дополнительная конфигурация схемы MOS» . Музей истории компьютеров . Дата обращения 6 июля 2019 .
  59. ^ Сах, Чжи-Тан ; Ванласс, Франк (февраль 1963). «Нановаттная логика с использованием полевых триодов металл-оксид полупроводник». 1963 Международная конференция IEEE по твердотельным схемам. Сборник технических статей . VI : 32–33. DOI : 10.1109 / ISSCC.1963.1157450 .
  60. ^ а б Лойек, Бо (2007). История полупроводниковой техники . Springer Science & Business Media . п. 330. ISBN 9783540342588.
  61. ^ Aitken, A .; Поульсен, Р.Г.; Макартур, АТФ; Уайт, Дж. Дж. (Декабрь 1976 г.). «Процесс CMOS, полностью имплантированный плазменным травлением и ионами». 1976 г. Международное совещание по электронным устройствам : 209–213. DOI : 10.1109 / IEDM.1976.189021 . S2CID 24526762 . 
  62. ^ "1978: Двойная быстрая CMOS SRAM (Hitachi)" (PDF) . Японский музей истории полупроводников . Дата обращения 5 июля 2019 .
  63. ^ Масухара, Тошиаки; Минато, Осаму; Сасаки, Тошио; Сакаи, Йошио; Кубо, Масахару; Ясуи, Токумаса (февраль 1978 г.). «Высокоскоростная статическая RAM Hi-CMOS 4K с низким энергопотреблением». 1978 Международная конференция по твердотельным схемам IEEE. Сборник технических статей . XXI : 110–111. DOI : 10.1109 / ISSCC.1978.1155749 . S2CID 30753823 . 
  64. ^ Масухара, Тошиаки; Минато, Осаму; Сакаи, Йоши; Сасаки, Тошио; Кубо, Масахару; Ясуи, Токумаса (сентябрь 1978 г.). «Короткоканальное устройство Hi-CMOS и схемы» . ESSCIRC 78: 4-я Европейская конференция по твердотельным схемам - Сборник технических статей : 131–132.
  65. ^ a b c d e Джеалоу, Джеффри Карл (10 августа 1990 г.). «Влияние технологии обработки на конструкцию усилителя чувствительности DRAM» (PDF) . CORE . Массачусетский технологический институт . С. 149–166 . Проверено 25 июня 2019 .
  66. ^ Чван, RJC; Choi, M .; Creek, D .; Stern, S .; Пелли, PH; Schutz, Joseph D .; Bohr, MT; Warkentin, PA; Ю. К. (февраль 1983 г.). «КМОП DRAM высокой плотности 70 нс». 1983 Международная конференция по твердотельным схемам IEEE. Сборник технических статей . XXVI : 56–57. DOI : 10.1109 / ISSCC.1983.1156456 . S2CID 29882862 . 
  67. ^ Мано, Цунео; Yamada, J .; Иноуэ, Джуничи; Накадзима, С. (февраль 1983 г.). «Субмикронные схемы памяти СБИС». 1983 Международная конференция по твердотельным схемам IEEE. Сборник технических статей . XXVI : 234–235. DOI : 10.1109 / ISSCC.1983.1156549 . S2CID 42018248 . 
  68. ^ Ху, ГДж; Таур, Юань; Деннард, Роберт Х .; Терман, Л. М.; Тинг, Чунг-Ю (декабрь 1983 г.). «Самовыравнивающаяся технология CMOS 1 мкм для СБИС». 1983 Международное совещание по электронным устройствам : 739–741. DOI : 10.1109 / IEDM.1983.190615 . S2CID 20070619 . 
  69. ^ Суми, Т .; Танигучи, Цунео; Кишимото, Микио; Хирано, Хиросигэ; Курияма, H .; Нисимото, Т .; Oishi, H .; Тетакава, С. (1987). «DRAM 60 нс 4 Мб в DIP 300 мил». 1987 Международная конференция IEEE по твердотельным схемам. Сборник технических статей . XXX : 282–283. DOI : 10.1109 / ISSCC.1987.1157106 . S2CID 60783996 . 
  70. ^ Мано, Цунео; Yamada, J .; Иноуэ, Джуничи; Nakajima, S .; Мацумура, Тоширо; Минегиши, К .; Миура, К .; Matsuda, T .; Хашимото, К .; Намацу, Х. (1987). «Схемотехника для 16Мб DRAM». 1987 Международная конференция IEEE по твердотельным схемам. Сборник технических статей . XXX : 22–23. DOI : 10.1109 / ISSCC.1987.1157158 . S2CID 60984466 . 
  71. ^ Ханафи, Хусейн I .; Деннард, Роберт Х .; Таур, Юань; Haddad, Nadim F .; Вс, JYC; Родригес, доктор медицины (сентябрь 1987 г.). «Разработка и описание устройства КМОП 0,5 мкм» . ESSDERC '87: 17-я Европейская конференция по исследованиям твердотельных устройств : 91–94.
  72. ^ Касаи, Наоки; Эндо, Нобухиро; Китадзима, Хироши (декабрь 1987 г.). «Технология CMOS 0,25 мкм с использованием поликремниевого PMOSFET P + с затвором». 1987 Международное совещание по электронным устройствам : 367–370. DOI : 10.1109 / IEDM.1987.191433 . S2CID 9203005 . 
  73. ^ Иноуэ, М .; Kotani, H .; Yamada, T .; Ямаути, Хироюки; Fujiwara, A .; Matsushima, J .; Акамацу, Хиронори; Фукумото, М .; Кубота, М .; Nakao, I .; Аой (1988). «Драм размером 16 МБ с открытой битовой архитектурой». 1988 Международная конференция по твердотельным схемам IEEE, 1988 ISSCC. Сборник технических статей : 246–. DOI : 10.1109 / ISSCC.1988.663712 . S2CID 62034618 . 
  74. ^ Шахиди, Гавам Г .; Давари, Биджан ; Таур, Юань; Варнок, Джеймс Д .; Wordeman, Matthew R .; МакФарланд, Пенсильвания; Мадер, SR; Родригес, доктор медицины (декабрь 1990 г.). «Изготовление КМОП на ультратонких КНИ, полученных методом латерального эпитаксиального наращивания и химико-механической полировки». Международный технический сборник по электронным устройствам : 587–590. DOI : 10.1109 / IEDM.1990.237130 . S2CID 114249312 . 
  75. ^ «Память» . STOL (Интернет-технологии полупроводников) . Проверено 25 июня 2019 .
  76. ^ "0,18-микронная технология" . TSMC . Проверено 30 июня 2019 .
  77. ^ "NEC производит самый маленький транзистор в мире" . Thefreelibrary.com . Проверено 7 декабря 2017 года .
  78. ^ Секигава, Тосихиро; Хаяси, Ютака (август 1984 г.). «Расчетные пороговые характеристики XMOS-транзистора с дополнительным нижним затвором». Твердотельная электроника . 27 (8): 827–828. Bibcode : 1984SSEle..27..827S . DOI : 10.1016 / 0038-1101 (84) 90036-4 . ISSN 0038-1101 . 
  79. ^ Койка, Hanpei; Накагава, Тадаши; Секигава, Тоширо; Suzuki, E .; Цуцуми, Тосиюки (23 февраля 2003 г.). «Основные соображения по компактному моделированию полевых МОП-транзисторов с четырьмя выводами» (PDF) . Краткие сведения о TechConnect . 2 (2003): 330–333. S2CID 189033174 .  
  80. ^ Давари, Биджан ; Чанг, Вэнь-Син; Wordeman, Matthew R .; О, CS; Таур, Юань; Петрилло, Карен Э .; Родригес, доктор медицины (декабрь 1988 г.). «Высокопроизводительная КМОП-технология 0,25 мкм». Технический дайджест., Международная конференция по электронным устройствам : 56–59. DOI : 10.1109 / IEDM.1988.32749 . S2CID 114078857 . 
  81. ^ Давари, Биджан ; Вонг, CY; Сунь, Джек Юань-Чен; Таур, Юань (декабрь 1988 г.). «Легирование поликремния n / sup + / и p / sup + / в процессе CMOS с двумя затворами». Технический дайджест. Международная конференция по электронным устройствам : 238–241. DOI : 10.1109 / IEDM.1988.32800 . S2CID 113918637 . 
  82. ^ Масуок, Фудзио ; Такато, Хироши; Сунучи, Казумаса; Okabe, N .; Нитаяма, Акихиро; Hieda, K .; Хоригути, Фумио (декабрь 1988 г.). «Высокопроизводительный КМОП транзистор с окружающим затвором (SGT) для БИС сверхвысокой плотности». Технический дайджест. Международная конференция по электронным устройствам : 222–225. DOI : 10.1109 / IEDM.1988.32796 . S2CID 114148274 . 
  83. ^ Брожек Томаша (2017). Микро- и наноэлектроника: новые проблемы устройств и решения . CRC Press . п. 117. ISBN 9781351831345.
  84. ^ Исикава, Фумитаро; Буянова, Ирина (2017). Новые составные полупроводниковые нанопроволоки: материалы, устройства и приложения . CRC Press . п. 457. ISBN. 9781315340722.
  85. ^ Colinge, JP (2008). FinFET и другие многозатворные транзисторы . Springer Science & Business Media. п. 11. ISBN 9780387717517.
  86. ^ Хисамото, Диг; Кага, Тору; Кавамото, Ёсифуми; Такеда, Эйдзи (декабрь 1989 г.). «Полностью обедненный транзистор с обедненным каналом (ДЕЛЬТА): новый вертикальный ультратонкий КНИ МОП-транзистор». Встреча Международного технического сборника электронных устройств : 833–836. DOI : 10.1109 / IEDM.1989.74182 . S2CID 114072236 . 
  87. ^ "Получатели премии Эндрю С. Гроув IEEE" . Премия IEEE Эндрю С. Гроув . Институт инженеров по электротехнике и радиоэлектронике . Дата обращения 4 июля 2019 .
  88. ^ a b c Цу-Чжэ Кинг, Лю (11 июня 2012 г.). «FinFET: история, основы и будущее» . Калифорнийский университет в Беркли . Краткий курс симпозиума по технологии СБИС. Архивировано 28 мая 2016 года . Дата обращения 9 июля 2019 .
  89. ^ Хисамото, Диг; Ху, Ченмин; Лю, Цу-Джэ Кинг; Бокор, Джеффри; Ли, Вен-Чин; Кедзерский, Якуб; Андерсон, Эрик; Такеучи, Хидеки; Асано, Казуя (декабрь 1998 г.). «Полевой МОП-транзистор с загнутым каналом для эры глубиной менее десятых микрон». International Electron Devices Meeting 1998. Технический дайджест (каталожный номер 98CH36217) : 1032–1034. DOI : 10.1109 / IEDM.1998.746531 . ISBN 0-7803-4774-9. S2CID  37774589 .
  90. ^ Ху, Ченмин ; Чой, Ян-Гю; Lindert, N .; Xuan, P .; Tang, S .; Имел.; Андерсон, Э .; Bokor, J .; Цу-Джэ Кинг, Лю (декабрь 2001 г.). «Технологии FinFET CMOS менее 20 нм». Международная конференция по электронным устройствам. Технический сборник (кат. № 01CH37224) : 19.1.1–19.1.4. DOI : 10.1109 / IEDM.2001.979526 . ISBN 0-7803-7050-3. S2CID  8908553 .
  91. ^ Ахмед, Шибли; Белл, Скотт; Табери, Сайрус; Бокор, Джеффри; Кайсер, Дэвид; Ху, Ченмин; Лю, Цу-Джэ Кинг; Ю, Бин; Чанг, Лиланд (декабрь 2002 г.). «Масштабирование FinFET до длины затвора 10 нм» (PDF) . Дайджест. Международная конференция по электронным устройствам : 251–254. CiteSeerX 10.1.1.136.3757 . DOI : 10.1109 / IEDM.2002.1175825 . ISBN   0-7803-7462-2. S2CID  7106946 .
  92. ^ Ли, Hyunjin; Чой, Ян-Гю; Ю, Ли-Ын; Рю, Сон Ван; Хан, Джин Ву; Jeon, K .; Jang, DY; Ким, Кук-Хван; Ли, Джу-Хён; и другие. (Июнь 2006), "Sub-5nm All-Around Gate FinFET для Окончательной Scaling", Симпозиум по технологии СБИС, 2006 : 58-59, DOI : 10,1109 / VLSIT.2006.1705215 , ЛВП : 10203/698 , ISBN 978-1-4244-0005-8, S2CID  26482358
  93. ^ "Still Room at the Bottom (нанометровый транзистор, разработанный Ян-кю Чой из Корейского передового института науки и технологий)" , Nanoparticle News , 1 апреля 2006 г., заархивировано с оригинала 6 ноября 2012 г.
  94. ^ Веймер, Пол К. (июнь 1962 г.). «Новый тонкопленочный транзистор TFT». Труды ИРЭ . 50 (6): 1462–1469. DOI : 10.1109 / JRPROC.1962.288190 . ISSN 0096-8390 . S2CID 51650159 .  
  95. Куо, Юэ (1 января 2013 г.). «Технология тонкопленочных транзисторов - прошлое, настоящее и будущее» (PDF) . Интерфейс электрохимического общества . 22 (1): 55–61. Bibcode : 2013ECSIn..22a..55K . DOI : 10.1149 / 2.F06131if . ISSN 1064-8208 .  
  96. ^ Ye, Peide D .; Сюань, И; У, Яньцин; Сюй, Мин (2010). "Металл-оксид-полупроводник с осаждением атомного слоя с высоким содержанием k / III-V и коррелированная эмпирическая модель" . В Октябрьском, Серж; Е, Пейде (ред.). Основы полупроводниковых МОП-транзисторов III-V . Springer Science & Business Media . С. 173–194. DOI : 10.1007 / 978-1-4419-1547-4_7 . ISBN 978-1-4419-1547-4.
  97. ^ Brody, TP; Куниг, HE (октябрь 1966 г.). «ТОНКОПЛЕННЫЙ ТРАНЗИСТОР InAs с высоким коэффициентом усиления». Письма по прикладной физике . 9 (7): 259–260. Bibcode : 1966ApPhL ... 9..259B . DOI : 10.1063 / 1.1754740 . ISSN 0003-6951 . 
  98. ^ Вудалл, Джерри М. (2010). Основы полупроводниковых МОП-транзисторов III-V . Springer Science & Business Media . С. 2–3. ISBN 9781441915474.
  99. ^ Канг, Давон ; Зе, Саймон Мин (июль – август 1967 г.). «Плавающий затвор и его применение в запоминающих устройствах». Технический журнал Bell System . 46 (6): 1288–1295. Bibcode : 1967ITED ... 14Q.629K . DOI : 10.1002 / j.1538-7305.1967.tb01738.x .
  100. ^ Вегенер, HAR; Линкольн, AJ; Пао, ХК; О'Коннелл, MR; Олексяк, РЭ; Лоуренс, Х. (октябрь 1967). «Транзистор с переменным порогом, новое электрически изменяемое неразрушающее запоминающее устройство, доступное только для чтения». 1967 Международное совещание по электронным устройствам . 13 : 70. DOI : 10,1109 / IEDM.1967.187833 .
  101. ^ Лин, Хунг Чанг ; Айер, Рамачандра Р. (июль 1968 г.). «Монолитный биполярный усилитель звука». IEEE Transactions on Broadcast and Television Receivers . 14 (2): 80–86. DOI : 10.1109 / TBTR1.1968.4320132 .
  102. ^ a b Альварес, Антонио Р. (1990). «Введение в BiCMOS». Технология и приложения BiCMOS . Springer Science & Business Media . С. 1–20 (2). DOI : 10.1007 / 978-1-4757-2029-7_1 . ISBN 9780792393849.
  103. ^ Лин, Хунг Чанг ; Iyer, Ramachandra R .; Хо, Коннектикут (октябрь 1968 г.). «Дополнительная МОП-биполярная структура». 1968 г. Международная конференция по электронным устройствам : 22–24. DOI : 10.1109 / IEDM.1968.187949 .
  104. ^ a b "Достижения в области дискретных полупроводников идут вперед" . Технология силовой электроники . Информация : 52–6. Сентябрь 2005 г. Архивировано 22 марта 2006 г. (PDF) из оригинала . Проверено 31 июля 2019 года .
  105. ^ Oxner, ES (1988). Технология и применение Fet . CRC Press . п. 18. ISBN 9780824780500.
  106. ^ Tarui, Y .; Hayashi, Y .; Секигава, Тосихиро (сентябрь 1969 г.). «Diffusion Self-Aligned MOST; новый подход к высокоскоростным устройствам» . Труды 1-й конференции по твердотельным устройствам . DOI : 10,7567 / SSDM.1969.4-1 . S2CID 184290914 . 
  107. ^ Маклинток, Джорджия; Томас, Р. Э. (декабрь 1972 г.). «Моделирование двойных диффузоров МОСТ с самовыравнивающимися затворами». 1972 г. Международная конференция по электронным устройствам : 24–26. DOI : 10.1109 / IEDM.1972.249241 .
  108. ^ Bergveld, P. (январь 1970). «Разработка ионно-чувствительного твердотельного устройства для нейрофизиологических измерений». IEEE Transactions по биомедицинской инженерии . БМЕ-17 (1): 70–71. DOI : 10.1109 / TBME.1970.4502688 . PMID 5441220 . 
  109. ^ Крис Тумазу; Пантелис Георгиу (декабрь 2011 г.). «40 лет технологии ISFET: от нейронального зондирования до секвенирования ДНК» . Письма об электронике . DOI : 10.1049 / el.2011.3231 . Дата обращения 13 мая 2016 .
  110. ^ Tarui, Y .; Hayashi, Y .; Секигава, Тосихиро (октябрь 1970 г.). «Улучшение DSA - истощение MOS IC». 1970 г. Международная конференция по электронным устройствам : 110. doi : 10.1109 / IEDM.1970.188299 .
  111. ^ Дункан, Бен (1996). Усилители мощности звука с высокими характеристиками . Эльзевир . С.  177–8, 406 . ISBN 9780080508047.
  112. ^ Baliga, Б. Джайант (2015). Устройство IGBT: физика, конструкция и применение биполярного транзистора с изолированным затвором . Уильям Эндрю . С. XXVIII, 5–12. ISBN 9781455731534.
  113. ^ Higuchi, H .; Кицукава, Горо; Икеда, Такахиде; Nishio, Y .; Sasaki, N .; Огиуэ, Кацуми (декабрь 1984 г.). «Характеристики и структура уменьшенных биполярных устройств, объединенных с CMOSFET». 1984 Международное совещание по электронным устройствам : 694–697. DOI : 10.1109 / IEDM.1984.190818 . S2CID 41295752 . 
  114. ^ Дегучи, К .; Комацу, Кадзухико; Miyake, M .; Namatsu, H .; Секимото, М .; Хирата, К. (1985). "Пошаговая рентгеновская / фото гибридная литография для устройств Mos 0.3 мкм" . 1985 Симпозиум по технологии СБИС. Сборник технических статей : 74–75.
  115. ^ Momose, H .; Шибата, Хидеки; Saitoh, S .; Миямото, Дзюн-ичи; Канзаки, К .; Кохьяма, Сусуму (1985). «1.0- / spl mu / m n-Well CMOS / Bipolar Technology». Журнал IEEE по твердотельным схемам . 20 (1): 137–143. Bibcode : 1985IJSSC..20..137M . DOI : 10.1109 / JSSC.1985.1052286 . S2CID 37353920 . 
  116. ^ Ли, Хан-Шэн; Puzio, LC (ноябрь 1986 г.). «Электрические свойства полевых МОП-транзисторов с длиной затвора менее четверти микрометра». Письма об электронных устройствах IEEE . 7 (11): 612–614. Bibcode : 1986IEDL .... 7..612H . DOI : 10.1109 / EDL.1986.26492 . S2CID 35142126 . 
  117. ^ Шахиди, Гавам Г .; Антониадис, Дмитрий А .; Смит, Генри I. (декабрь 1986 г.). «Выбросы скорости электронов при 300 К и 77 К в кремниевых МОП-транзисторах с субмикронными длинами каналов». 1986 Международное совещание по электронным устройствам : 824–825. DOI : 10.1109 / IEDM.1986.191325 . S2CID 27558025 . 
  118. ^ Давари, Биджан ; Тинг, Чунг-Ю; Ahn, Kie Y .; Basavaiah, S .; Ху, Чао-Кун; Таур, Юань; Wordeman, Matthew R .; Абоэльфото, О. (май 1987 г.). «Субмикронный МОП-транзистор с вольфрамовым затвором и оксидом затвора 10 нм» . 1987 Симпозиум по технологии СБИС. Сборник технических статей : 61–62.
  119. ^ Havemann, Роберт Х .; Эклунд, RE; Tran, Hiep V .; Хакен, РА; Скотт, ДБ; Фунг, ПК; Ham, TE; Фавро, Д.П .; Виркус, Р.Л. (декабрь 1987 г.). «Технология 0.8 # 181; м 256K BiCMOS SRAM». 1987 Международное совещание по электронным устройствам : 841–843. DOI : 10.1109 / IEDM.1987.191564 . S2CID 40375699 . 
  120. ^ Каваура, Хисао; Сакамото, Тосицугу; Баба, Тошио; Очиай, Юкинори; Фудзита, Дзюн-ичи; Мацуи, Синдзи; Соне, Дж. (1997). «Транзисторные операции в EJ-MOSFET с длиной затвора 30 нм». 1997 Дайджест 55-й Ежегодной конференции по исследованиям устройств : 14–15. DOI : 10,1109 / DRC.1997.612456 . ISBN 0-7803-3911-8. S2CID  38105606 .
  121. ^ Каваура, Хисао; Сакамото, Тосицугу; Баба, Тошио (12 июня 2000 г.). «Наблюдение прямого туннельного тока исток-сток в полевых транзисторах металл-оксид-полупроводник с электрически регулируемым затвором 8 нм с электрически регулируемым мелким переходом». Письма по прикладной физике . 76 (25): 3810–3812. Bibcode : 2000ApPhL..76.3810K . DOI : 10.1063 / 1.126789 . ISSN 0003-6951 . 

Дальнейшее чтение [ править ]

  • Кэслин, Хуберт (2008), Проектирование цифровых интегральных схем, от архитектур СБИС до изготовления КМОП , Cambridge University Press, раздел 14.2.
  • Wiki, связанная с Chip Technology

Внешние ссылки [ править ]

  • Глоссарий по полупроводникам
  • Подогрев вафель
  • Проектирование патрона с подогревом для оборудования для обработки полупроводников