Из Википедии, бесплатной энциклопедии
  (Перенаправлено из двойного паттерна )
Перейти к навигации Перейти к поиску

Множественное формирование рисунка (или множественное формирование рисунка ) - это класс технологий для производства интегральных схем (ИС), разработанный для фотолитографии для увеличения плотности элементов. Ожидается, что это будет необходимо для узловых полупроводниковых процессов 10 и 7 нм и за их пределами. Предпосылка состоит в том, что одной литографической экспозиции может быть недостаточно для обеспечения достаточного разрешения. Следовательно, потребуются дополнительные экспонирования, или же потребуется размещение шаблонов с использованием боковых стенок с вытравленными элементами (с использованием распорок).

Различные техники для создания нескольких рисунков. Вверху: разделение элементов на группы (здесь показано 3), каждая из которых оформлена отдельной маской. По центру: использование распорки для создания дополнительных отдельных элементов в зазорах. Внизу: использование элемента противоположной полярности для вырезания (небольшого разрыва) уже существующих элементов.

Даже при однократной экспозиции, имеющей достаточное разрешение, были реализованы дополнительные маски для лучшего качества формирования рисунка, например, Intel для обрезки линий на своем 45-нм узле [1] или TSMC на своем 28-нм узле. [2] Даже для электронно-лучевой литографии однократное экспонирование оказывается недостаточным при полутонах ~ 10 нм, поэтому требуется двойное формирование рисунка. [3] [4]

Литография с двойным узором была впервые продемонстрирована в 1983 г. Д. Фландерсом и Н. Н. Ефремовым. [5] С тех пор было разработано несколько методов формирования двойного рисунка, таких как самовыравнивающееся двойное формирование рисунка (SADP) и подход только для литья к двойному рисунку. [6] [7]

Пионерский подход к созданию двойного рисунка высоты тона был впервые предложен Гуртеем Сингхом Сандху из Micron Technology в 2000-х годах, что привело к разработке флеш- памяти NAND класса 30 нм . С тех пор множественный паттерн широко применяется производителями флэш- памяти NAND и оперативной памяти во всем мире. [8] [9]

Ситуации, требующие множественного формирования паттернов [ править ]

Существует ряд ситуаций, в которых требуется создание нескольких паттернов.

Шаг суб-разрешения [ править ]

Стохастические дефекты ограничивают разрешение EUV. Стохастические дефекты более серьезны для более узких питчей; при длине волны 36 нм дефектность шага не опускается ниже ~ 1e-9. Узоры контактов имеют серьезные дефекты при больших размерах.

Наиболее очевидный случай, когда требуется множественное формирование рисунка, - это когда шаг элемента ниже предела разрешения оптической проекционной системы. Для системы с числовой апертурой NA и длиной волны λ любой шаг ниже 0,5 λ / NA не будет разрешен при однократном экспонировании пластины. Предел разрешения может также возникать из-за стохастических эффектов, как в случае EUV . Следовательно, ширина линии 20 нм по-прежнему требует формирования двойного ЭУФ-рисунка из-за большей дефектности при больших шагах. [10]

Двумерный узор округления [ править ]

Закругление двумерного узора. Двумерные плотные узоры, образованные из нескольких мешающих лучей, всегда сильно закруглены.

Хорошо известно, что плотные двумерные узоры, которые формируются за счет интерференции двух или трех лучей в одном направлении, как в квадрупольном или КВАЗАРНО-освещении, подвержены значительному закруглению, особенно на изгибах и углах. [11] [12] [13] Радиус скругления угла больше минимального шага (~ 0,7 λ / NA). [14] Это также способствует возникновению горячих точек для элементов размером ~ 0,4 λ / NA или меньше. [15] По этой причине предпочтительно сначала определить образцы линий, а затем соответственно вырезать сегменты из таких линий. [16] Это, конечно, требует дополнительных воздействий. Сами вырезанные формы также могут быть круглыми, что требует высокой точности размещения. [16] [17][18]

Компромисс между острием линии и шириной линии [ править ]

Закругление кончиков лески естественным образом приводит к компромиссу между уменьшением ширины линии (т. Е. Ширины кончика лески) и уменьшением зазора между противоположными кончиками. По мере уменьшения ширины линии уменьшается радиус наконечника. Когда кончик лески уже меньше, чем функция рассеяния точки (k 1 ~ 0,6-0,7), кончик лески естественным образом отодвигается [19], увеличивая зазор между противоположными кончиками. Функция разброса точки также ограничивает разрешаемое расстояние между центрами концов линий (смоделированных в виде кругов). Это, в свою очередь, приводит к компромиссу между уменьшением ширины ячейки и уменьшением высоты ячейки. Компромисс можно избежать, добавив маску обрезки / обрезки (см. Обсуждение ниже). [20]Следовательно, для 7-нм узла, нацеленного на EUV, с шириной линии металла 18 нм (k 1 = 0,44 для λ = 13,5 нм, NA = 0,33), зазор между концом линии менее 25 нм (k 1 = 0,61) влечет за собой одиночный EUV-сигнал. узорчатости недостаточно; необходима вторая экспозиция разреза.

Различные части макета, требующие разного освещения [ править ]

Для разных функций требуется разное освещение. Различные функции в одном макете (обозначенные разными цветами) могут потребовать разного освещения и, следовательно, разной экспозиции. В то время как к горизонтальным и вертикальным линиям можно обращаться с помощью обычного квадрупольного освещения (синий), ориентация под углом 45 градусов будет страдать, поскольку они требуют совершенно другого квадрупольного освещения (красный). Следовательно, для включения всех этих случаев потребуются отдельные риски.

Когда шаблоны включают элементы, размеры которых близки к пределу разрешения, часто бывает, что различное расположение таких элементов требует для их печати определенного освещения. [21]

Самый простой пример - горизонтальные плотные линии по сравнению с вертикальными линиями (полушаг <0,35 λ / NA), где для первого требуется дипольное освещение Север-Юг, а для второго - дипольное освещение Восток-Запад. Если используются оба типа (также известный как кросс-квадрупольный C-Quad), неподходящий диполь ухудшает изображение соответствующей ориентации линии. [22] На больших шагах до λ / NA могут быть как горизонтальные, так и вертикальные линии, компенсируемые квадрупольным или QUASAR-освещением, но элементы, расположенные по диагонали, и элементы изгиба ухудшаются. [23] [24]

В DRAM массив и периферия экспонируются при разных условиях освещения . Например, массив может быть освещен дипольным освещением, в то время как периферия может использовать кольцевое освещение. [25] Эта ситуация применяется к любому набору шаблонов (половинный шаг <0,5 λ / NA) с различным шагом или различным расположением элементов, например, прямоугольные массивы по сравнению с смещенными массивами. [26] [27] [28] [29] Любой из индивидуальных шаблонов разрешим, но одно освещение не может использоваться одновременно для всех из них.

Включение как изолированных, так и плотных элементов - хорошо известный пример создания многоточечного рисунка. Вспомогательные функции субразрешения (SRAF) были разработаны для создания рисунка изолированных элементов при использовании освещения, адаптированного для плотных элементов. Однако не все диапазоны высоты тона могут быть покрыты. В частности, может быть непросто включить полутвердые элементы. [30] [31]

Конкретный пример: массивы отверстий [ править ]

Освещение, специфичное для массива. Для разных конфигураций решетки требуются разные и взаимоисключающие источники освещения. Чтобы учесть все это, потребуются разные экспозиции с разным освещением.

Для конкретного случая решеток отверстий (минимальный полушаг <0,6 λ / NA) три хорошо известных случая требуют трех совершенно разных источников освещения. Обычный массив обычно требует освещения Quasar, в то время как тот же самый массив, повернутый на 45 градусов, приводит к массиву шахматной доски, который требует освещения C-quad. [29] В отличие от обоих случаев, массив с симметрией, близкой к треугольной или гексагональной, требует гексапольного освещения. [32]

Шаблоны с несколькими шагами [ править ]

Точка доступа OPC. Недостаточно места (красная область) для вспомогательных функций для поддержки двукратного минимального шага металла (MMP) при наличии 1x MMP запрещено.
Узоры с несовместимыми иллюминациями. Освещение, предназначенное для определенных частей многотонального рисунка, может ухудшить другие аспекты. Здесь синие местоположения дают преимущество минимальному шагу линии, в то время как красные местоположения приносят пользу разрыву линии, но не минимальному шагу линии.

Иногда характерный узор по своей природе содержит более одного шага, и, кроме того, эти шаги несовместимы до такой степени, что никакое освещение не может одновременно удовлетворительно отображать оба шага. Распространенным примером, опять же из DRAM, является шаблон кирпича, определяющий активные области массива. [33]В дополнение к узкому шагу активных областей существует также шаг между разделениями или разрывами активных областей, который в два раза больше, чем узкий шаг в том же направлении. Когда узкий шаг <λ / NA (но все же> 0,5 λ / NA), он не может быть отображен одновременно с двойным шагом из-за ограничений фокуса последнего. Селективное травление, наряду с SADP или SAQP (будет описано ниже), является лучшим в настоящее время подходом для достижения одновременного формирования рисунка обоих шагов. [34]

Небольшие отклонения от 2-лучевой интерференции [ править ]

В случае использования дипольного освещения минимальный стабильный печатный зазор между концами линий превышает ширину линии. Когда зазор нарисован слишком маленьким, концы линии перекрываются.

Двухлучевая интерференционная картина (полушаг <0,5 λ / NA) формирует набор регулярно расположенных линий. Разрывы в таких линиях, например, в кирпичных узорах, являются отклонениями от интерференционной картины. Такие разрывы обычно не доминируют в шаблоне и, следовательно, являются небольшими отклонениями. Этих отклонений недостаточно, чтобы полностью компенсировать конструктивную или деструктивную интерференцию основного правильного линейного рисунка; часто возникают боковые лепестки. [35] [36] Концевые зазоры линий легко перекрываются при дипольном освещении. [37] Следовательно, необходима другая экспозиция маски (обычно называемая обрезной маской) для более надежного разрыва линейного узора.

Обрезка линии [ править ]

Зависимость ширины зазора между концом линии от шага линии. По мере уменьшения шага линий расстояние между концами линий увеличивается.
Искажение изображения кирпичного узора. Разница между изображением с перекрестием и изображением с перекрестным разрывом настолько велика, что требуется маска среза.
Наложение между линией и вырезом. Наложение рисунка разреза на линию важно, чтобы избежать ошибки размещения кромки, когда часть линии остается неразрезанной. Более того, ошибка в дозе может усугубить это.
Скругление отрезков линии. Более короткий отрезок подлежит более серьезному округлению.

Самая ранняя реализация множественного формирования рисунка включала разрезание линии. Впервые это произошло для узла Intel 45 нм при шаге затвора 160 нм. [38] Использование второй маски для вырезания линий, определенных первой маской, не помогает напрямую увеличить плотность объектов. Вместо этого он позволяет определять признаки, например узоры кирпича, которые основаны на линиях, разнесенных с минимальным шагом, в частности, когда линии близки к пределу разрешения и генерируются упомянутой выше двухлучевой интерференцией. Двухлучевая интерференция по-прежнему доминирует в дифракционной картине. [35] Фактически, при отсутствии отдельного разреза зазор между концами линий с минимальным шагом будет недопустимо большим. [39] [40]

При применении второй маски к линиям разреза необходимо учитывать наложение относительно первой маски; в противном случае могут возникнуть ошибки размещения кромок (EPE). Если шаг линии уже близок к пределу разрешения, у самого разреза могут возникнуть трудности с визуализацией из-за уменьшенной дозы или окна фокусировки. В этом случае необходимо использовать более одной маски разреза, иначе разрез должен проходить более чем на одну линию. Самовыравнивающаяся резка (будет обсуждаться ниже) может быть предпочтительным вариантом.

Разделение питча [ править ]

Двойная экспозиция, двойное травление (канавки): покрытие фоторезистом поверх первого рисунка; травление рядом с предыдущими элементами; Удаление фоторезиста

Самая ранняя форма формирования множественного рисунка заключалась в простом разделении рисунка на две или три части, каждая из которых может быть обработана обычным образом, с объединением всего рисунка в конце в конечном слое. Иногда это называют разделением на шаг , поскольку невозможно отобразить два объекта, разделенных одним шагом, поэтому сразу можно отобразить только пропущенные объекты. Его также называют более прямым названием «LELE» (Litho-Etch-Litho-Etch). Этот подход использовался для узлов 20 нм и 14 нм. Допускались дополнительные расходы на дополнительные экспозиции, поскольку они потребовались бы только для нескольких критических слоев. Более серьезное беспокойство вызывали ошибки позиционирования между объектами (наложение). Следовательно, подход к самовыравниванию боковых стенок (описанный ниже) преуспел в этом подходе.

Двойное формирование рисунка путем разделения шага. Создание двойного паттерна путем разделения шага предполагает присвоение смежных объектов двум разным маскам, обозначенным разными цветами. Это остается самым простым подходом к созданию множественных паттернов, применяемым сегодня, и требует меньших затрат, чем EUV.

Подход «грубой силы» для создания рисунка канавок включает в себя последовательность (как минимум) двух отдельных экспозиций и вытравливания независимых рисунков в один и тот же слой. Для каждой экспозиции требуется свое покрытие из фоторезиста. Когда последовательность завершена, узор представляет собой композицию из ранее вытравленных подшаблонов. Путем чередования подшаблонов теоретически можно неограниченно увеличивать плотность рисунка, при этом полутона обратно пропорционально количеству используемых подшаблонов. Например, шаблон половинного шага 25 нм может быть сгенерирован путем чередования двух шаблонов половинного шага 50 нм, трех шаблонов половинного шага 75 нм или четырех шаблонов половинного шага 100 нм. Уменьшение размера элемента, скорее всего, потребует помощи таких методов, как химическая усадка, термическое оплавление или вспомогательные пленки.Затем этот составной узор можно перенести на последний слой.

Лучше всего это описать на примере процесса. Первое экспонирование фоторезиста переносится на нижележащий слой твердой маски. После удаления фоторезиста после переноса рисунка жесткой маски на образец наносится второй слой фоторезиста, и этот слой подвергается второй экспозиции, визуализируя элементы между элементами, сформированными в слое жесткой маски. Рисунок поверхности состоит из элементов фоторезиста, граничащих между элементами маски, которые могут быть перенесены на последний слой под ним. Это позволяет удвоить плотность элементов.

Вариант такого подхода , который устраняет первое hardmask травления препятствует замерзанию , [41] , которая позволяет второму резиста покрытия поверх первый слоя резиста развитого. JSR продемонстрировала 32-нм линии и промежутки с использованием этого метода [42], где замораживание достигается за счет поверхностного упрочнения первого слоя резиста.

В последние годы понятие «расщепление шага» постепенно расширилось, и теперь в него включены методы с использованием распорок для боковых стенок.

Передача изображения боковой стенки [ править ]

Разделительная маска: первый узор; осаждение; формирование спейсера травлением; удаление первого рисунка; травление с помощью дистанционной маски; окончательный образец

При формировании рисунка разделителя разделитель представляет собой слой пленки, сформированный на боковой стенке элемента с предварительно нанесенным рисунком. Прокладка образуется путем осаждения или реакции пленки на предыдущий узор с последующим травлением для удаления всего пленочного материала с горизонтальных поверхностей, оставляя только материал на боковых стенках. При удалении исходного элемента с рисунком остается только распорка. Однако, поскольку на каждую строку приходится по две прокладки, плотность линий увеличилась вдвое. Это обычно называют самовыравнивающимся двойным узором (SADP). Метод спейсера применим, например, для определения узких ворот на половине исходного литографического шага.

Поскольку разделение шага стало более трудным из-за возможных различий в положениях элементов между различными экспонируемыми частями, перенос изображения боковой стенки (SIT) стал все более признанным необходимым подходом. Подход SIT обычно требует, чтобы на боковой стенке вытравленного элемента был сформирован промежуточный слой. Если эта прокладка соответствует проводящему элементу, то в конечном итоге ее необходимо разрезать не менее чем в двух местах, чтобы разделить элемент на две или более проводящих линий, как обычно ожидается. С другой стороны, если прокладка соответствует диэлектрическим характеристикам, резка не потребуется. Предсказание того, сколько разрезов потребуется для сложных логических шаблонов, было большой технической проблемой. Множество подходов к формированию спейсера были опубликованы (некоторые из них перечислены ниже), и все они направлены на улучшение управления (и сокращение) сокращений.

Поскольку разделительные материалы обычно являются твердыми маскирующими материалами, их качество рисунка после травления обычно лучше по сравнению с профилями фоторезиста после травления, которые обычно страдают шероховатостью кромок линий. [43]

Основные проблемы, связанные с использованием спейсера, заключаются в том, могут ли прокладки оставаться на месте после удаления материала, к которому они прикреплены, приемлем ли профиль прокладки и не повреждается ли нижележащий материал травлением, удаляя материал, прикрепленный к прокладке. . Перенос рисунка осложняется ситуацией, когда при удалении материала, прилегающего к распоркам, также удаляется небольшая часть нижележащего материала. Это приводит к более высокому рельефу с одной стороны распорки, чем с другой. [44] Любое несовпадение масок или отклонение критического размера (CD) предварительно созданного элемента приведет к чередованию шага между элементами, явление, известное как ходьба по высоте. [45]

Расположение проставки также зависит от рисунка, к которому она прикреплена. Если узор слишком широкий или слишком узкий, это повлияет на положение проставки. Однако это не будет проблемой для процессов изготовления критически важных функций памяти, которые являются самовыравнивающимися.

При повторении SADP достигается дополнительное уменьшение высоты тона вдвое. Это часто называют самовыравнивающимся четырехкратным паттерном (SAQP). Поскольку 76 нм - это ожидаемый минимальный шаг для однократной иммерсионной литографии , [46] шаг 19 нм теперь доступен с помощью SAQP.

Самовыравнивающийся контакт / через паттерн [ править ]

Самовыравнивание с помощью двойного дамасского рисунка.

Самовыравнивающийся контакт и формирование переходного паттерна - это признанный метод создания паттерна для нескольких контактов или переходных отверстий из одного литографического элемента. Он использует пересечение увеличенной маски сопротивления элемента и нижележащих канавок, которые окружены слоем жесткой маски с предварительно нанесенным рисунком. Этот метод используется в ячейках DRAM [47], а также для продвинутой логики, чтобы избежать многократного воздействия на контакты и переходные отверстия с разделением шага. [48] [49] [50]

Начиная с 32-нм узла, Intel применила вышеупомянутый подход с самовыравниванием сквозных отверстий, который позволяет двум переходным отверстиям, разделенным достаточно малым шагом (112,5 нм для 32-нм металла Intel) [51], формировать узор с одним отверстием резиста вместо двух. отдельные. [50] Если бы переходные отверстия были разделены меньшим, чем предел разрешения шага экспозиции, минимальное необходимое количество масок было бы уменьшено, так как две отдельные маски для первоначально разделенной пары переходных отверстий теперь могут быть заменены одной маской для той же пары. .

Спейсер-диэлектрик (SID) SADP [ править ]

Спейсер-диэлектрик (SID) SADP основан на двух последовательных осаждениях, а также, по крайней мере, двух травлениях.
SID SADP имеет 3-кратное разрешение с возможностью дополнительной маски для обрезки линий.

При самовыравнивании двойного рисунка (SADP) количество масок разреза / блока может быть уменьшено или даже исключено в плотных участках, когда прокладка используется для прямого рисунка межметаллического диэлектрика вместо металлических элементов. [52] Причина в том, что места вырезов / блоков в элементах сердечника / оправки уже сформированы в первой маске. Есть второстепенные особенности, которые появляются из зазоров между прокладками после дальнейшего формирования рисунка. Край между второстепенным элементом и прокладкой самовыравнивается с соседним основным элементом.

Выкройка прокладки 2D SID [ править ]

Использование SID может применяться к двумерным массивам путем итеративного добавления элементов, равноудаленных от ранее присутствующих элементов, удваивая плотность с каждой итерацией. [53] [54] На этой созданной распоркой сетке могут быть сделаны разрезы, не требующие плотного позиционирования. [55]

Рисунок треугольной прокладки (сотовая структура) [ править ]

Сотовый рисунок позволяет утроить плотность рисунка слоев DRAM.

Samsung недавно продемонстрировал формирование паттернов DRAM с использованием сотовой структуры (HCS), подходящей для 20 нм и выше. [56] Каждая итерация формирования спейсерного паттерна увеличивает плотность втрое, эффективно уменьшая 2D шаг в sqrt (3). Это особенно полезно для DRAM, поскольку слой конденсатора может быть вписан в сотовую структуру, что упрощает формирование рисунка.

Самовыравнивающийся четверной узор ( SAQP ) [ править ]

SAQP, основанный на двух последовательных шагах SADP. По сравнению с SADP, SAQP использует другой спейсер, что обеспечивает дальнейшую самовыравнивающуюся обработку, которая обеспечивает дальнейшее уменьшение шага, а также возможность гибкого формирования рисунка.

SADP может применяться дважды подряд для достижения эффективного квотирования. Это также известно как самовыравнивающееся четырехкратное формирование паттерна (SAQP). В SAQP каждый критический размер (CD) основного элемента, а также расстояние между такими элементами определяются либо первой, либо второй прокладкой.

Предпочтительно, чтобы вторая распорка определяла непроводящие элементы [57] для более гибких вариантов резки или обрезки.

Направленная самосборка (DSA) [ править ]

DSA рекомбинирует разделенные переходные отверстия. Два переходных отверстия, для которых обычно требуется отдельная экспозиция (красный и синий), можно объединить с помощью DSA, используя одну экспозицию направляющего шаблона (черная рамка).

Количество масок, используемых для формирования рисунка прокладок на боковых стенках, может быть уменьшено за счет использования направленной самосборки (DSA) из-за одновременного выполнения разрезов с сеткой на всей печатной области, которую затем можно выбрать с окончательной экспозицией. [58] [55] В качестве альтернативы, сам шаблон вырезания может быть сгенерирован как этап DSA. [59] Аналогичным образом, раскладка с разделением каналов может быть рекомбинирована попарно. [60]

Сообщается о большом прогрессе в использовании блок-сополимеров ПММА-ПС для определения структур размером менее 20 нм посредством самосборки, руководствуясь топографией поверхности (графоэпитаксия) и / или химическим формированием структуры поверхности (химиоэпитаксия). [61] Ключевым преимуществом является относительно простая обработка по сравнению с многократной экспозицией или многократным нанесением и травлением. Основным недостатком этого метода является относительно ограниченный диапазон размеров элементов и рабочих циклов для данной формулы процесса. Типичными приложениями были регулярные линии и пространства, а также массивы плотно упакованных отверстий или цилиндров. [62] Однако случайные апериодические шаблоны также могут быть сгенерированы с использованием тщательно определенных направляющих шаблонов. [63]

Шероховатость кромок линий в узорах блок-сополимеров сильно зависит от межфазного натяжения между двумя фазами, которое, в свою очередь, зависит от параметра Флори «ци» (χ). [64] Для уменьшения шероховатости предпочтительнее более высокое значение χ; межфазная ширина между доменами равна 2a (6χ) -1/2 , где a - статистическая длина полимерной цепи. [65] Кроме того, для достаточной сегрегации фаз требуется χN> 10,5, где N - степень полимеризации (количество мономерных повторов в цепи). С другой стороны, полутон равен 2 (3 / π 2 ) 1/3 aN 2/3 χ 1/6. Колебания ширины рисунка на самом деле только слабо (квадратный корень) зависят от логарифма полутона, поэтому они становятся более значительными по сравнению с меньшими полутонами.

DSA еще не реализован в производстве из-за проблем с дефектами, когда функция не отображается, как ожидалось, при управляемой самосборке. [66]

Другие техники создания нескольких паттернов [ править ]

Высказывались многочисленные опасения по поводу того, что множественное формирование паттернов уменьшает или даже обращает вспять снижение затрат от узла к узлу, ожидаемое в соответствии с законом Мура . EUV дороже, чем три экспозиции 193i (например, LELELE), учитывая пропускную способность. [67] Кроме того, EUV более склонен к печати меньших дефектов маски, которые не устраняются с помощью 193i. [68] Некоторые аспекты других рассматриваемых методик формирования множественного рисунка обсуждаются ниже.

Самовыравнивание тройного паттерна (SATP) [ править ]

SATP предлагает меньшую чувствительность наложения. SATP обеспечивает тот же шаблон, что и SID SADP, но с меньшей чувствительностью наложения для маски обрезки / обрезки.

Самовыравнивающееся тройное формирование рисунка считается многообещающим преемником SADP из-за введения второго спейсера, обеспечивающего дополнительную гибкость 2D-рисунка и более высокую плотность. [69] [70] Для этого подхода достаточно двух масок (оправка и триммер). [71] Единственная дополнительная стоимость по сравнению с SADP - это нанесение и травление второй прокладки. Главный недостаток SATP, следующего за SADP, заключается в том, что его можно использовать только для одного узла. По этой причине чаще рассматривается самовыравнивающийся четырехкратный паттерн (SAQP). С другой стороны, обычный поток SID SADP может быть вполне естественным образом расширен до тройного формирования паттерна, при этом вторая маска разделяет промежуток на два элемента. [72]

Имплантация наклонных ионов [ править ]

Имплантация наклонных ионов. Области ионного повреждения действуют как выровненные по бокам участки, подлежащие травлению. Фундаментальным аспектом этого подхода является корреляция между шириной повреждения и шагом повреждения; оба расширяются одновременно для фиксированной высоты ионной маски и угла ионного пучка.

Имплантация наклонных ионов была предложена в 2016 году Университетом Беркли в качестве альтернативного метода достижения того же результата, что и формирование спейсерного паттерна. [73]Вместо рисунка сердечника или оправки, поддерживающего осажденные прокладки, рисунок слоя ионной маскировки защищает нижележащий слой от повреждения ионной имплантацией, что приводит к его удалению в последующем процессе. Процесс требует использования наклонных ионных пучков, проникающих на нужную глубину, чтобы не повредить уже обработанные слои под ними. Кроме того, слой, маскирующий ионы, должен вести себя идеально, т. Е. Блокировать прохождение всех ионов, а также не отражаться от боковой стенки. Последнее явление было бы пагубным и нарушило бы цель подхода ионной маскировки. Канавки размером 9 нм были достигнуты с помощью этого подхода с использованием имплантации ионов Ar + с энергией 15 кэВ под углом 15 градусов в тепловую SiO 2 толщиной 10 нм.маскирующий слой. Фундаментальным аспектом этого подхода является корреляция между шириной повреждения и шагом повреждения; оба расширяются одновременно для фиксированной высоты ионной маски и угла ионного пучка.

Дополнительная полярность экспозиции [ править ]

Метод дополнительных экспозиций [74] - это еще один способ уменьшения экспозиции маски для множественного формирования рисунка. Вместо множественных экспозиций маски для отдельных переходных отверстий, прорезей или блоков используются две экспозиции противоположной или дополнительной полярности, так что одна экспозиция удаляет внутренние части предыдущей картины экспозиции. Перекрывающиеся области двух многоугольников противоположной полярности не печатаются, в то время как неперекрывающиеся области определяют местоположения, которые печатаются в соответствии с полярностью. Ни одна из экспозиций не моделирует целевые объекты напрямую. Этот подход был также реализован IMEC в виде двух «сохраняющих» масок для слоя M0A в их 7-нм ячейке SRAM. [75]

Самовыравнивающаяся блокировка или резка [ править ]

САДП с самовыравнивающейся блокировкой / обрезкой. Самовыравнивающаяся блокировка или резка позволяет разделить линии на два цвета благодаря использованию двух разных материалов с разной избирательностью травления. При заданной экспозиции маски можно вырезать только линии одного цвета.

Самовыравнивающаяся блокировка или резка в настоящее время нацелены на использование с SAQP для шагов менее 30 нм. [76] Обрезаемые линии делятся на два материала, которые можно протравить выборочно. Одна маска для вырезания обрезает только все остальные линии, сделанные из одного материала, в то время как другая маска для вырезания разрезает оставшиеся линии, сделанные из другого материала. Преимущество этого метода состоит в том, что он формирует элементы с двойным шагом над линиями с минимальным шагом без ошибок размещения кромок. [34]

Самовыравнивание дуодекупл паттерна (SADDP) [ править ]

Было обнаружено, что самовыравнивающееся формирование дуодекупольного паттерна (SADDP), по сути, последовательность SATP-SAQP, является полезным для формирования паттерна ячеек с 6 и 7 дорожками для узлов 10-5 нм. [77]

Возможности множественного паттерна EUV [ править ]

Размещение конца линии EUV. Фотонный дробовой шум EUV влияет на размещение концов линии (для однократной экспозиции). Следовательно, было бы предпочтительнее обрезать линии.
Разделение макета EUV из-за разного освещения. Этот макет состоит из вертикальных и горизонтальных линий, требующих двух различных источников освещения, оптимизированных для каждой, поскольку горизонтальный макет включает более широкие линии и промежутки. Следовательно, макет должен быть разделен даже для литографии EUV. Кроме того, для промежутков между кончиками лески (обведены кружками) предпочтительны дополнительные разрезы.

Хотя планировалось, что EUV станет предпочтительной литографией следующего поколения , для этого все же может потребоваться более одной литографической экспозиции из-за предполагаемой необходимости сначала напечатать серию линий, а затем вырезать их; один образец экспонирования EUV имеет трудности с контролем межстрочного интервала. [12] Кроме того, на расположение концов линии значительно влияет дробовой фотонный шум. [78]

Существующие инструменты 0,33 NA EUV имеют разрешение ниже 16 нм. [79] Зазоры между наконечниками проблематичны для размеров 16 нм. [80] Следовательно, формирование 2D-рисунка EUV ограничено шагом> 32 нм. [79] Недавние исследования по оптимизации характеристик маски EUV и формы освещения одновременно показали, что разные рисунки в одном и том же металлическом слое могут потребовать разного освещения. [81] [82] [83] [84] [85] С другой стороны, однократная экспозиция дает только однократное освещение.

Например, при оптимизации маски источника с поперечным шагом для узла 7 нм, для шага 40-48 нм и шага 32 нм качество, определенное с помощью нормализованного наклона логарифмической диаграммы изображения, было недостаточным (NILS <2), в то время как шаг только 36 нм был едва удовлетворительным для двунаправленной однократной экспозиции. [13]

Основная ситуация заключается в том, что образцы EUV могут быть разделены в соответствии с разным освещением для разных шагов или разными типами рисунка (например, шахматные массивы против обычных массивов). [81] Это может относиться к шаблонам, вырезающим линии, а также к контактным / сквозным слоям. Также вероятно, что потребуется более одного разреза, даже для EUV. [86]

На семинаре EUVL в 2016 году компания ASML сообщила, что инструменты 0.33 NA NXE EUV не будут способны формировать стандартную схему однократной экспозиции для полутона 11-13 нм, ожидаемого в узле 5 нм. [87] Более высокая числовая апертура 0,55 позволила бы формировать EUV-структуру полей за одну экспозицию, которые составляют половину стандартного размера поля 26 мм x 33 мм. [87] Однако некоторые продукты, такие как NVIDIA Pascal Tesla P100, [88] будут разделены пополам на размер полуполя, и, следовательно, потребуют сшивания двух отдельных экспозиций. [89] В любом случае, два сканирования полуполя потребляют вдвое больше накладных расходов на ускорение / замедление, чем одно сканирование всего поля. [87] [90]

Реализации с несколькими шаблонами [ править ]

Неидеальный мультипаттерн: здесь макет разделен на три части, каждую из которых сложно отобразить. Каждая часть содержит элементы разного размера и разного расстояния, а также разную ориентацию, наследуя проблемы исходной компоновки.

Шаблоны памяти уже сформированы четырехкратным шаблоном для NAND [91] и скрещенным четырехкратным / двойным шаблоном для DRAM. [92] Эти методы формирования рисунка являются самовыравнивающимися и не требуют специальной резки или обрезных масок. Для 2x-нм DRAM и флэш-памяти должно быть достаточно методов двойного паттерна.

Текущая пропускная способность EUV все еще более чем в 3 раза ниже, чем у иммерсионной литографии с длиной волны 193 нм, что позволяет расширить последнюю за счет множественного формирования рисунка. Более того, отсутствие пленки EUV также недопустимо.

В 2016 году Intel использовала SADP для своего 10-нм узла; [93] однако, по состоянию на 2017 год минимальный шаг металла в 36 нм теперь достигается SAQP. [94] Intel использует тройную структуру для некоторых критических слоев на своем 14-нм узле [95], что является подходом LELELE. [96] Тройное формирование рисунка уже продемонстрировано в ленте 10 нм [97] и уже является неотъемлемой частью 10-нм процесса Samsung. [98] TSMC внедряет технологию 7 нм в 2017 году с несколькими шаблонами; [99], в частности, разделение шага, [100] вплоть до шага 40 нм. [101]За пределами 5-нанометрового узла создание множественных паттернов, даже с помощью EUV, было бы экономически проблематичным, поскольку отказ от однократного воздействия EUV приведет к еще большему увеличению затрат. Однако, по крайней мере, до полутона 12 нм, LELE, за которым следует SADP (SID), кажется многообещающим подходом, с использованием только двух масок, а также с использованием наиболее зрелых методов двойного рисунка, LELE и SADP. [102]

Стоимость выкройки [ править ]

Оптимизированный по цвету множественный рисунок. В идеале три набора объектов разного цвета должны быть распределены как можно более равномерно и следовать согласованному шагу.

Ссылка: A. Raley et al., Proc. SPIE 9782, 97820F (2016).

По сравнению с 193i SADP, в стоимости EUV SADP преобладает экспонирование инструмента EUV, в то время как разница в стоимости 193i SAQP связана с добавлением осаждений и травлений. Ожидается, что стоимость обработки и потери урожая литографического инструмента будут самыми высокими во всем интегрированном технологическом процессе из-за необходимости перемещать пластину в определенные места с высокой скоростью. EUV также страдает от ограничения дробового шума, что заставляет дозу увеличиваться для последующих узлов. [103] С другой стороны, напыление и травление обрабатывают всю пластину сразу, без необходимости перемещения ступени пластины в технологической камере. Фактически, несколько слоев могут быть добавлены под слоем резиста для целей антиотражения или травления жесткой маски, только для обычного однократного экспонирования.

Опубликованные демонстрации кремния [ править ]

Передовая логика / методы мульти-паттерна ASIC [ править ]

Четыре маски для деления минимального шага на 3. Для создания рисунка с шагом в одну треть минимального шага линии можно использовать 4 маски (каждая из которых здесь представлена ​​другим цветом). Этот метод также известен как «LELELELE» (4-кратная итерация лито-травления (LE)). В качестве альтернативы SADP можно применять с меньшим количеством масок.

Даже с внедрением технологии EUV в некоторых случаях, множественное формирование рисунка продолжалось в большинстве производимых слоев. Например, Samsung продолжает использовать четырехкратный паттерн для 7 нм. [109] Технология TSMC 7 нм + также использует EUV в контексте создания множества паттернов. [112] В любом случае затронуты только несколько слоев; [113] многие остаются традиционным множественным узором.

Стоимость маски [ править ]

Стоимость маски сильно выигрывает от использования множественного рисунка. Маска с однократной экспозицией EUV имеет меньшие элементы, на которые требуется гораздо больше времени, чем у иммерсионной маски. Несмотря на то, что элементы маски в 4 раза больше, чем элементы пластины, количество снимков экспоненциально увеличивается для гораздо меньших элементов. Кроме того, элементы маски размером менее 100 нм гораздо сложнее сформировать с высотой поглотителя ≈70 нм. [114]

Производительность пластин [ править ]

Примечание: WPM = WPH * # инструменты * время безотказной работы / # проходов * 24 часа / день * 30 дней / месяц. Нормализованное WPM = WPM / (WPM для EUV 1 проход)

Можно ожидать, что создание множественного рисунка с помощью иммерсионных сканеров обеспечит более высокую производительность пластин, чем EUV, даже при 4 проходах на слой, благодаря более высокой производительности экспонирования пластины (WPH), большему количеству доступных инструментов и более высокому времени безотказной работы.

Множественные проблемы, связанные с паттерном [ править ]

Влияние ошибки наложения на обрезку линии. Ошибка наложения на обнажении вырезанного отверстия может исказить концы линии, что приведет к искривлению (вверху) или нарушит соседнюю линию (внизу).
Порезы по диагонали запрещены. Порезы по диагонали запрещены из-за искаженного внешнего вида "уголка поцелуя". Их использование не рекомендуется даже с единичным узором EUV.

Множественное нанесение рисунка влечет за собой использование многих этапов обработки для формирования узорчатого слоя, где обычно достаточно только одного литографического экспонирования, одной последовательности нанесения и одной последовательности травления. Следовательно, существует больше источников вариаций и возможных потерь урожая при многократном формировании рисунка. Если задействовано более одного воздействия, например, LELE или разрезы для SAQP, согласование между воздействиями должно быть достаточно точным. Текущие возможности наложения составляют ≈0,6 нм для экспонирования с одинаковой плотностью (например, LELE) и ≈2,0 нм для плотных линий по сравнению с разрезами / переходными отверстиями (например, SADP или SAQP) на специализированных или согласованных инструментах. [116]Кроме того, каждая экспозиция должна соответствовать заданным целевым показателям ширины. Когда используются прокладки, ширина прокладки зависит от начального осаждения, а также от продолжительности последующего травления. Если задействовано более одной распорки, каждая распорка может иметь свои собственные вариации ширины. Ошибка наложения местоположения разреза также может исказить концы линии (что приведет к возникновению дуги) или нарушить соседнюю линию. [16] [17] [18]

Смешанные методы формирования паттернов [ править ]

LELE + SADP + вырезать. Разрез LELE + SADP + был предложен для ребер толщиной 7 нм. [117] Здесь показаны два разреза. Зазоры между прокладками после травления также могут определять дополнительные особенности. [52]
LELE + крой выкройки. Вырезанные маски придают дополнительную гибкость рисунку LELE.

Множественный паттерн развивается в сторону комбинации многократного экспонирования, спейсерного паттерна и / или EUV. Особенно с учетом того, что масштабирование от наконечника до наконечника затруднено при однократной экспозиции на существующих инструментах EUV [12], может потребоваться метод линейной резки. IMEC сообщил, что двойной узор становится требованием для EUV. [118]

  • Смешанный узор с чувствительностью наложения:
  • Смешанный рисунок с пониженной чувствительностью наложения:
Выбор места разреза сетки. За счет первого определения местоположения разрезов на сетке устраняется влияние ошибки наложения на форму разреза. Вверху: сетка, определяющая места разрезов. Точки пересечения красных линий с нижележащими металлическими линиями (синие) определяют допустимые местоположения. Внизу: выбор места разреза.
SAQP с выборочной блокировкой SADP. SAQP в сочетании с SADP для селективной блокировки - это ожидаемый подход для формирования структуры половинного шага 10 нм без EUV.

Для построения линий SADP / SAQP может иметь преимущество перед воздействием EUV из-за стоимости и зрелости первого подхода и проблем с отсутствием или перекрытием стохастических характеристик второго. [123] Для формирования рисунка расположения сетки одно экспонирование DUV после формирования сетки также имеет преимущества стоимости и зрелости (например, иммерсионная литография может даже не быть необходимой для формирования рисунка спейсера в некоторых случаях) и никаких стохастических проблем, связанных с EUV. Выбор местоположения сетки имеет преимущество перед прямой точечной резкой, поскольку последняя чувствительна к ошибкам наложения и стохастического размещения кромок, которые могут исказить концы линии. [16] [17]

Промышленное внедрение [ править ]

Эволюция множественного паттерна рассматривается параллельно с появлением EUV-литографии. В то время как EUV-литография удовлетворяет разрешающей способности 10-20 нм по основным оптическим соображениям, возникновение стохастических дефектов [124], а также другие недостатки инфраструктуры и соображения производительности препятствуют ее применению в настоящее время. Следовательно, 7- нанометровые ленты в основном проходили без EUV. [125] Другими словами, создание множественных паттернов не является запретительным, а больше похоже на неприятность и растущие расходы. 5 нм можно ожидать в 2020 году с учетом эволюции множественных паттернов и статуса EUV, рассматриваемого в то время.

7нм и 5нм FinFETs [ править ]

Самовыравнивающееся четырехкратное формирование рисунка (SAQP) уже является установленным процессом, который будет использоваться для формирования рисунка плавников для 7-нм и 5-нм FinFET-транзисторов . [126] При использовании SAQP каждый шаг формирования рисунка дает значение критической однородности размеров (CDU) в субнанометровом диапазоне (3 сигма). Из четырех производителей логики / литейного производства только Intel применяет SAQP к металлическим слоям по состоянию на 2017 год [127].

DRAM [ править ]

Как и NAND Flash, DRAM также регулярно использует множественные шаблоны. Несмотря на то, что активные области образуют двумерный массив, одной маски среза достаточно для 20 нм. [128] Кроме того, вырезанная маска может одновременно использоваться для формирования рисунка на периферии и, таким образом, не будет считаться дополнительной маской. [129] Когда длинный шаг активной области составляет ~ 3,5 кратного шага, разрывы в активной зоне образуют гексагональный массив, который поддается описанию треугольной решетчатой ​​разделительной структуры, упомянутой выше. Samsung уже начал производство 18-нм DRAM. [130]

NAND flash [ править ]

Флэш-память Planar NAND имела несколько слоев, в которых использовался шаг SADP менее 80 нм и шаг SAQP менее 40 нм.

Флэш-память 3D NAND использовала SADP для некоторых слоев. Хотя он не масштабируется так агрессивно в поперечном направлении, использование стекирования строк в 3D NAND предполагает использование множественного паттерна (стиль лито-травления) для паттерна вертикальных каналов.

Как правило, для NAND SADP формирует набор линий из основной маски с последующим использованием маски обрезки для удаления концов петли и соединения контактных площадок с третьей маской. [131]

EUV Multipatterning [ править ]

Мультипаттернинг DUV против EUV. Ожидается, что шаг ниже 40 нм потребует множественных шаблонов из-за необходимости поддерживать достаточно маленькое расстояние между концами линий, не разрушая части линий между ними. Количество требуемых масок может совпадать с количеством масок DUV, например, для этого целевого шаблона.

Не исключено создание множественного паттерна EUV, особенно для 5-нм узла. Это связано со спецификацией затяжки от наконечника к наконечнику (T2T), которая представляет собой минимальное расстояние между концами металлических линий. [132] Кроме того, расстояние между надрезами не должно быть слишком маленьким, чтобы обнажить участки линий между ними.

Ссылки [ править ]

  1. ^ Intel 45 нм HKMG
  2. ^ TSMC 28 нм разрезная
  3. ^ Чао, Вэйлун; Ким, Джихун; Андерсон, Эрик Х .; Фишер, Питер; Рекава, Сенаджит; Аттвуд, Дэвид Т. (2009-01-09). «Процессы HSQ с двойным рисунком зонных пластин для дифракционных ограничений 10 нм» . Цитировать журнал требует |journal=( помощь )
  4. ^ Дуань, Хуйгао; Уинстон, Дональд; Ян, Джоэл KW; Корд, Брайан М .; Manfrinato, Vitor R .; Берггрен, Карл К. (ноябрь 2010 г.). «Электронно-лучевая литография с шагом менее 10 нм с использованием полиметилметакрилата в качестве негативного резиста» (PDF) . Журнал вакуумной науки и технологий B, Нанотехнологии и микроэлектроника: материалы, обработка, измерения и явления . 28 (6): C6C58 – C6C62. DOI : 10.1116 / 1.3501353 . hdl : 1721,1 / 73447 . Архивировано из оригинального (PDF) 19 января 2012 года.
  5. ^ DC Фландрия; Н. Н. Ефремов (1983). «Создание решеток с периодом <50 нм с использованием методов определения границ». J. Vac. Sci. Technol. В: 1105-1108. Цитировать журнал требует |journal=( помощь )CS1 maint: использует параметр авторов ( ссылка )
  6. ^ Крис Бенчер; Юнмэй Чен; Huixiong Dai; Уоррен Монтгомери; Лиор Хули (2008). «Формирование полутонового рисунка 22 нм с помощью двойного рисунка самовыравнивания спейсера CVD (SADP)». 6924 . Оптическая микролитография XXI; 69244E. Cite journal requires |journal= (help)CS1 maint: uses authors parameter (link)
  7. ^ A. Vanleenhove; Д. Ван Стенвинкель (2007). «Литографический подход к двойному рисунку». 6520 . Оптическая микролитография XX; 65202F. Cite journal requires |journal= (help)CS1 maint: uses authors parameter (link)
  8. ^ "Получатели премии Эндрю С. Гроув IEEE" . Премия Эндрю С. Гроува IEEE . Институт инженеров по электротехнике и радиоэлектронике . Дата обращения 4 июля 2019 .
  9. ^ «Micron назван в числе 100 лучших мировых новаторов шестой год подряд» . Микронная технология . 2018-02-15 . Дата обращения 5 июля 2019 .
  10. ^ D. De Simone, A. Singh, G. Vandenberghe, Proc. SPIE 10957, 109570Q (2019).
  11. ^ М. Вала и Дж. Хомола, Optics Express Vol. 22, 18778 (2014).
  12. ^ a b c ван Сеттен, Eelco; Виттебруд, Фризо; и другие. (4 сентября 2015 г.). Берингер, Уве Ф.В.; Finders, Джо (ред.). «Варианты паттерна для логики N7: перспективы и проблемы EUV». Proc. SPIE 9661, 31-я Европейская конференция по маскам и литографии, 96610G . 31-я Европейская конференция по маскам и литографии. 9661 : 96610G. Bibcode : 2015SPIE.9661E..0GV . DOI : 10.1117 / 12.2196426 .
  13. ^ а б RH. Kim et al. , Proc. SPIE vol. 9776, 97761R (2016).
  14. ^ RL Jones and JD Byers, Proc. SPIE 5040, 1035 (2003).
  15. ^ С. Кобаяши и др., Proc. SPIE 6521, 65210B (2007).
  16. ^ a b c d R. Kotb et al., Proc. SPIE 10583, 1058321 (2018).
  17. ^ a b c Ю. Бородовский, "EUV-литография во вставках и за ее пределами", 2012 Международный семинар по EUV-литографии.
  18. ^ а б Л. Т.-Н. Wang et al., Proc. SPIE 9781, 97810B (2016).
  19. ^ CA Mack, Proc. SPIE 4226, 83 (2000).
  20. ^ TSMC N7 резка металла
  21. ^ CT Bodendorf, Proc. SPIE 5992, 599224 (2005).
  22. ^ M. Burkhardt et al., Proc. SPIE 6520, 65200К (2007).
  23. ^ E. Hendrickx et al., Proc. SPIE 6924, 69240L (2008).
  24. ^ WN Partlo et al., Prof. SPIE 1927, 137 (1993).
  25. ^ Т. Винклер и др., Proc. SPIE 5754, 1169 (2005).
  26. ^ Y. Chen et al., J. Vac. Sci. & Тех. В 35, 06Г601 (2017).
  27. ^ K. Tsujita et al., Proc. SPIE 6520, 652036 (2007).
  28. ^ Т. Винклер и др., Proc. SPIE 5754, 1169 (2005).
  29. ^ a b K. Tian et al., Proc. SPIE 7274, 72740C (2009).
  30. ^ FM Schellenberg et al., DAC 2001, Принятие OPC и влияние на дизайн и макет.
  31. ^ V. Wiaux et al., Proc. SPIE 5040, 270 (2003).
  32. ^ B. Bilski et al., Proc. SPIE 10466, 1046605 (2017).
  33. ^ Samsung 80 нм DRAM
  34. ^ a b Выборочное травление в SAQP для формирования паттернов менее 20 нм
  35. ^ a b Н. Сингх и М. Мукерджи-Рой, Proc. SPIE vol. 4691, 1054 (2002).
  36. ^ J. Garofalo et al., J. Vac. Sci. & Тех. В 11, 2651 (1993).
  37. ^ T. Matsuda et al., Proc. SPIE 7973, 797316 (2011).
  38. ^ Выпуск Intel Technology Journal 45 нм
  39. ^ Как отрезки линий превратились в отдельные этапы литографии
  40. ^ M. Eurlings et al., Proc. SPIE 4404, 266 (2001).
  41. ^ "Наноэлектроника с масштабированием - сопротивляется" . Архивировано из оригинала на 2010-03-23.
  42. ^ LaPedus, Марк (13 марта 2008). «JSR демонстрирует« замораживающий материал »для производства 22 нм» . Архивировано из оригинала на 2014-07-15.
  43. ^ X. Hua et al., J. Vac. Sci. Tech. В, т. 24. С. 1850–1858 (2006).
  44. ^ YK Choi et al., J. Phys. Chem. В, т. 107, стр. 3340-3343 (2003).
  45. ^ Чао, Робин; Kohli, Kriti K .; Чжан, Юньлинь; Мадан, Анита; Мутинти, Гангадхара Раджа; Хонг, Огюстен Дж .; Конклин, Дэвид; Холт, Джадсон; Бейли, Тодд С. (01.01.2014). «Методы мультитехнической метрологии для оценки шага по тангажу в 14 морских милях и за пределами FinFET». Журнал микро / нанолитографии, МЭМС и MOEMS . 13 (4): 041411. Bibcode : 2014JMM & M..13d1411C . DOI : 10.1117 / 1.JMM.13.4.041411 . ISSN 1932-5150 . 
  46. ^ I. Bouchoms et al. , Proc. SPIE 7274, 72741K (2009).
  47. ^ Патент США 6165880, переданный TSMC.
  48. ^ Y. Loquet et al. , Microelec. Англ. 107, 138 (2013).
  49. ^ Патент США 8813012, переуступленный Synopsys.
  50. ^ а б Р. Брейн и др. , IITC 2009.
  51. ^ П. Пакан и др. , IEDM 2009.
  52. ^ a b Ссылка: Патент США 8312394, переуступленный Synopsys.
  53. ^ K. Oyama et al., Proc. SPIE 9051, 90510V (2014).
  54. ^ Б. Мебарки и др. , Патент США 8,084,310, переуступленный Applied Materials.
  55. ^ a b M. C. Smayling et al. , Proc. SPIE 8683, 868305 (2013).
  56. ^ JM Park et al. , IEDM 2015, 676 (2015).
  57. ^ Назначение распорок в SAQP
  58. ^ Презентация резюме на Semicon West 2013 Архивировано 24 сентября 2015 г. на Wayback Machine
  59. ^ Z. Xiao et al. , Proc. SPIE 8880, 888017-3 (2013).
  60. ^ J. Bekaert et al., Proc. SPIE 9658, 965804 (2015).
  61. ^ SH Park et al. , Soft Matter, 6, 120–125 (2010).
  62. CG Hardy и C. Tang, J. Polymer Sci. Pt. B: Polymer Phys., Т. 51, стр. 2-15 (2013).
  63. ^ LW. Chang et al. Технический дайджест IEDM 2010, 752-755 (2010).
  64. ^ Отчет NIST 2011 по LER в PS-b-PMMA DSA
  65. А. Н. Семенов, Макромолекулы 26, 6617 (1993).
  66. ^ A. Gharbi et al., Proc. SPIE 9777, 97770T (2016).
  67. ^ D. Civay et al. , J. Micro / Nanolith. MEMS MOEMS 14, 023501 (2015).
  68. ^ К. Секи и др. , Proc. SPIE 9658, 96580G (2015).
  69. ^ Патент США 7842601, переуступленный Samsung.
  70. ^ Y. Chen et al. , Proc. SPIE 7973, 79731P (2011).
  71. ^ M. Mirsaeedi et al. , IEEE Trans. СБИС Syst. 22, 1170 (2014).
  72. ^ Патент США 7846849, переуступленный Applied Materials.
  73. ^ SW Kim et al., JVST B 34, 040608 (2016).
  74. ^ а б Ф. Т. Чен и др. , Proc. SPIE 8326, 83262L (2012).
  75. ^ S. Sakhare et al., Proc. SPIE 9427, 94270O (2015).
  76. ^ Н. Моханти и др., Proc. SPIE 10147, 1014704 (2017).
  77. ^ Полностью самовыравнивающаяся интеграция 6-трекового и 7-трекового клеточного процесса
  78. ^ Воздействие шума фотонного выстрела на размещение конца линии
  79. ^ а б Т-Б. Chiou et al. , Proc. SPIE 9781, 978107 (2016).
  80. ^ T. H-Bao et al. , Proc. SPIE 9781, 978102 (2016).
  81. ^ a b Y. Chen et al., J. Vac. Sci. Tech. B35, 06G601 (2017).
  82. ^ М. Кроуз и др. , Proc. SPIE 10148, 101480H (2017).
  83. ^ W. Gillijns et al. , Proc. SPIE 10143, 1014314 (2017).
  84. ^ Т. Ласт и др. , Proc. SPIE 10143, 1014311 (2017).
  85. ^ S. Hsu et al. , Proc. SPIE 9422, 94221I (2015).
  86. ^ Мерритт, Рик (7 октября 2015). «Лучше всего подходят 5-нм тестовые лампы Litho Path Hybrid 193i, EUV» . EETimes .
  87. ^ a b c ASML High-NA EUV
  88. ^ NVIDIA Tesla Pascal P100 Unveiled - 15,3 миллиарда транзисторов на 610mm2 16nm штампе
  89. ^ Микролитография: наука и технология , 2-е изд., Б. В. Смит и К. Сузуки (редакторы), CRC Press, 2007, стр. 94.
  90. ^ Справочник по технологии производства полупроводников , Ю. Ниши и Р. Деринг (ред.), CRC Press, 2000, стр. 475.
  91. ^ Hynix M1X NAND
  92. ^ Патент США 9318369, переуступленный Samsung.
  93. ^ Intel обсуждает 10 нм
  94. ^ Intel представляет 10 нм
  95. ^ Intel переходит на 7 нм без EUV
  96. ^ [1]
  97. ^ Тройной узор становится обычным при 10 нм
  98. ^ Samsung объявляет о 10-нм процессе FinFET для SoC
  99. ^ [2]
  100. ^ SY Wu et al., IEDM 2016, статья 2.7.
  101. ^ a b Настройка IEDM 2016 для 7/5 морских миль
  102. ^ FT Chen et al. , Proc. SPIE 8683, 868311 (2013).
  103. ^ FT Chen et al. , Proc. SPIE vol. 8326, 82362L (2012).
  104. ^ а б в [3]
  105. ^ a b [4] A. Yeoh et al., IITC 2018.
  106. ^ Заявка на патент США 20150179513
  107. ^ [ https://www.design-reuse.com/articles/45832/design-rule-check-drc-violations-asic-designs-7nm-finfet.html Проверка правил проектирования FinFET при 7 нм]
  108. ^ TSMC на 2017 г.
  109. ^ a b J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  110. ^ Поддержка калибра для 8LPP и 7LPP
  111. ^ a b [5] H. Rhee et al., 2018 Symp. СБИС Техн., 217.
  112. ^ Mentor расширяет поддержку 7-нм FinFET Plus
  113. ^ Отчет о прибылях и убытках TSMC за 2 квартал 2019 г.
  114. ^ RR Haque et al. , Proc. SPIE 9776, 97760F (2016).
  115. ^ Samsung получает 24 инструмента погружения от ASML в 2010 г.
  116. ^ Распространение иммерсионной литографии на узлы 1xnm
  117. ^ A. Малик и др., Proc. SPIE 9048, 90481R (2014).
  118. ^ Ошибки EUV при атаке
  119. ^ a b M. C. Smayling et al., Proc. SPIE 8683, 868305 (2013).
  120. ^ a b Патент США 9240329
  121. ^ a b Заявка на патент США 20170221902
  122. ^ Патент США 8697538
  123. ^ П. Бишоп и Э. Хендрикс, Proc. SPIE 10583, 105831K (2018).
  124. ^ П. Де Бишоп и Э. Хендрикс, Proc. SPIE 10583, 105831K (2018).
  125. ^ TSMC tapeouts в 2018 году
  126. ^ SAQP для FinFETs
  127. ^ Intel 14 нм лидерство
  128. ^ YS. Канг и др., J. Micro / Nanolith. MEMS MOEMS vol. 15 (2), 021403 (2016).
  129. ^ Патент США 7253118.
  130. ^ Samsung 18 нм DRAM
  131. ^ Х. Дай и др., Proc. SPIE 7275, 72751E (2009).
  132. ^ J. Van Schoot и др., Proc. SPIE 11147, 1114710 (2019).