Из Википедии, бесплатной энциклопедии
Перейти к навигации Перейти к поиску

Литография в крайнем ультрафиолете (также известная как EUV или EUVL ) - это технология литографии (в основном чип-печать / изготовление, также известная как «изготовление»), использующая диапазон длин волн экстремального ультрафиолета (EUV), примерно охватывающий 2% ширины полосы на полувысоте около 13,5  нм .

Хотя технология EUV доступна для массового производства, в течение 2018 и 2019 годов было поставлено 53 машины по всему миру, способные производить пластины с использованием этой технологии, а за тот же период была поставлена 201 система иммерсионной литографии . [1] [2] [ необходим более качественный источник ] Проблемы, которые затрудняют внедрение EUV, - это стоимость инструментов (EUV-сканеры ASML могут стоить до 120 миллионов долларов США [3] [4] ), время безотказной работы инструмента и случайные явления. [5] В новейших приборах NXE: 3400 предусмотрена возможность заполнения нижнего зрачка для лучшего изображения, [6]но это приводит к снижению производительности из-за ограниченного использования поля экспонирования. [7] Остается еще несколько фундаментальных проблем. [8]

По состоянию на 2020 год Samsung и TSMC - единственные компании, которые использовали EUV в производстве, в основном ориентированном на 5 нм. На IEDM 2019 TSMC сообщила об использовании EUV для 5-нанометрового контакта, перехода, металлической линии и слоев разреза, где разрезы можно наносить на ребра, ворота или металлические линии. [9] [10] На IEDM 2020 TSMC сообщила, что минимальный шаг металла в 5 нм будет уменьшен на 30% по сравнению с 7 нм, [11] который составлял 40 нм. [12] 5-нанометровая технология Samsung литографически является тем же правилом проектирования, что и 7-нм, с минимальным шагом металла 36 нм. [13]

Механизм формирования изображения в EUV литографии. Вверху: многослойный EUV-фильтр и поглотитель (фиолетовый), образующий узор маски для отображения линии. Внизу: EUV-излучение (красный), отраженное от рисунка маски, поглощается резистом (желтый) и подложкой (коричневый), образуя фотоэлектроны и вторичные электроны (синий). Эти электроны увеличивают степень химических реакций в резисте. На оптическое изображение накладывается вторичный электронный узор, который носит случайный характер. Нежелательное воздействие вторичных электронов приводит к потере разрешения, наблюдаемой шероховатости края линии и изменению ширины линии.
Стохастический аспект EUV-изображения. Фотонный дробовой шум может вызвать значительные локальные вариации дозы, которые могут пересекать порог печати (пунктирная линия).

Маски [ править ]

СУФ фотошаблонов работы, отражая свет, [14] , которая достигается за счет использования нескольких слоев чередованием молибдена и кремния . Это отличается от обычных фотошаблонов, которые блокируют свет с помощью одного слоя хрома на кварцевой подложке. Маска EUV состоит из 40 чередующихся слоев кремния и молибдена; [15] этот многослойный слой отражает ультрафиолетовый свет за счет дифракции Брэгга.; коэффициент отражения в значительной степени зависит от угла падения и длины волны, при этом более длинные волны отражают больше вблизи нормального падения, а более короткие волны отражаются в большей степени от нормального падения. Рисунок определяется в поглощающем слое на основе тантала поверх многослойного материала. [16] Многослойный слой может быть защищен тонким слоем рутения. [17] [18]

Производство [ править ]

Пустые фотошаблоны в основном производят две компании: AGC Inc. и Hoya Corporation . [19] [20] Заготовка фотошаблона покрыта фоторезистом , который затем запекается (затвердевает) в печи, а затем подвергается воздействию лазерного света с использованием литографии без маски . [21] Экспонированный фоторезист проявляется (удаляется), а незащищенные участки протравливаются. Затем удаляется оставшийся фоторезист. Затем маски проверяются и позже ремонтируются с помощью электронного луча . [22] Травление должно выполняться на очень определенную глубину, что затрудняет травление по сравнению с традиционным производством фотошаблонов. [23]

Инструмент [ править ]

Инструмент EUVL, Ливерморская национальная лаборатория.

Инструмент состоит из управляемого лазером плазменного источника света из олова (Sn), отражающей оптики, состоящей из многослойных зеркал, находящихся в среде газообразного водорода. Водород используется для предотвращения осаждения олова на зеркале коллектора EUV в источнике. [24]

EUVL - это значительный отход от стандарта глубокой ультрафиолетовой литографии. Все вещество поглощает EUV- излучение. Следовательно, для EUV-литографии необходим вакуум. Все оптические элементы, включая фотошаблон , должны использовать бездефектные многослойные молибден / кремний ( Mo / Si ) (состоящие из 40 бислоев Mo / Si), которые отражают свет посредством межслойной интерференции; любое из этих зеркал поглощает около 30% падающего света.

Современные системы EUVL содержат как минимум два конденсаторных многослойных зеркала, шесть проекционных многослойных зеркал и многослойный объект (маску). Поскольку зеркала поглощают 96% света EUV, идеальный источник EUV должен быть намного ярче, чем его предшественники. Разработка источников EUV была сосредоточена на плазме, генерируемой лазерными или разрядными импульсами. Зеркало, отвечающее за сбор света, напрямую подвергается воздействию плазмы и уязвимо для повреждения ионами высокой энергии [25] [26] и другим мусором [27], например каплями олова, что требует замены дорогостоящего зеркала коллектора каждые год. [28]

Требования к ресурсам [ править ]

Источник: Gigaphoton, Sematech Symposium Japan, 15 сентября 2010 г.

Требуемые служебные ресурсы значительно больше для EUV по сравнению с погружением на 193 нм , даже при двух экспозициях с использованием последнего. Компания Hynix сообщила на симпозиуме EUV 2009, что эффективность сетевой розетки составила ~ 0,02% для EUV, т. Е. Чтобы получить 200 Вт при промежуточном фокусе для 100 пластин в час, потребуется 1 мегаватт входной мощности по сравнению с 165. -киловатт для иммерсионного сканера ArF, и что даже при той же пропускной способности занимаемая площадь сканера EUV была примерно в 3 раза больше площади иммерсионного сканера ArF, что приводило к снижению производительности. [29] Кроме того, для удержания обломков ионов может потребоваться сверхпроводящий магнит. [30]

Типичный инструмент EUV весит 180 тонн. [31]

Сводка основных характеристик [ править ]

В следующей таблице приведены основные различия между разрабатываемыми системами EUV и иммерсионными системами ArF, которые сегодня широко используются в производстве:

Различная степень разрешения инструментов с числовой апертурой 0.33 объясняется различными вариантами освещения. Несмотря на потенциал оптики для достижения разрешения менее 20 нм, вторичные электроны в резисте практически ограничивают разрешение примерно до 20 нм. [41]

Мощность источника света, пропускная способность и время безотказной работы [ править ]

EUV TPT в зависимости от дозы. Пропускная способность пластины EUV-инструмента фактически является функцией дозы облучения при фиксированной мощности источника.
Производительность EUV за 13-недельный период. Средняя еженедельная производительность на фактическом клиентском инструменте составляет около 1000 пластин в день, иногда меньше.

Нейтральные атомы или конденсированное вещество не могут испускать EUV-излучение. Ионизация должна предшествовать EUV-излучению. Тепловое образование многозарядных положительных ионов возможно только в горячей плотной плазме , которая сама сильно поглощает EUV. [42] По состоянию на 2016 год установленный источник света EUV представляет собой оловянную плазму с импульсным лазерным излучением. [43] Ионы поглощают излучаемый ими EUV-свет и легко нейтрализуются электронами в плазме до более низких зарядовых состояний, которые производят свет в основном на других, непригодных для использования длинах волн, что приводит к значительному снижению эффективности генерации света для литографии при более высоком уровне плазмы. удельная мощность.

Производительность зависит от мощности источника, деленной на дозу. [44] Более высокая доза требует более медленного движения ступени (меньшей производительности), если мощность импульса не может быть увеличена.

Отражательная способность коллектора EUV ухудшается на ~ 0,1-0,3% на миллиард импульсов 50 кГц (~ 10% за ~ 2 недели), что приводит к потере времени безотказной работы и пропускной способности, в то время как даже для первых нескольких миллиардов импульсов (в течение одного дня) все еще остается 20%. (+/- 10%) колебание. [45] Это может быть связано с накоплением упомянутого выше остатка олова, который не удаляется полностью. [46] [47] С другой стороны, обычные инструменты иммерсионной литографии для создания двойного рисунка обеспечивают стабильную производительность в течение года. [48]

В последнее время осветитель NXE: 3400B отличается уменьшенным коэффициентом заполнения зрачка (PFR) до 20% без потерь при передаче. [49] PFR максимален и превышает 0,2 при шаге металла 45 нм. [50]

Из-за использования EUV-зеркал, которые также поглощают EUV-свет, на пластине, наконец, доступна лишь небольшая часть исходного света. Для осветительной оптики используются 4 зеркала, а для проекционной оптики - 6 зеркал. Маска EUV или сетка сами по себе являются дополнительным зеркалом. При 11 отражениях на пластине доступно только ~ 2% света источника EUV. [51]


Время безотказной работы инструмента [ править ]

Источник света EUV ограничивает время безотказной работы инструмента, помимо производительности. Например, в двухнедельный период может быть запланировано более семи часов простоя, в то время как общее фактическое время простоя, включая незапланированные проблемы, может легко превысить день. [51] Ошибка дозы более 2% гарантирует простой инструмента. [51]

Сравнение с другими источниками света для литографии [ править ]

В то время как современные эксимерные лазеры на ArF с длиной волны 193 нм предлагают интенсивность 200 Вт / см 2 , [52] лазеры для создания плазмы, генерирующей EUV, должны быть намного более интенсивными, порядка 10 11 Вт / см 2 . [53] Для современного источника света с иммерсионной литографией на основе ArF мощностью 120 Вт требуется не более 40 кВт [54], в то время как для источников EUV требуется мощность более 40 кВт. [55]

Требуемая мощность для литографии EUV составляет не менее 250 Вт, в то время как для других традиционных источников литографии она намного меньше. [51] Например, источники света для иммерсионной литографии нацелены на 90 Вт, сухие источники ArF 45 Вт и источники KrF 40 Вт. Ожидается, что для EUV-источников с высокой числовой апертурой потребуется не менее 500 Вт. [51]

Стохастические проблемы EUV [ править ]

Локальные распределения дозы по статистике Пуассона (дробовой шум). Локально в нанометровом масштабе количество фотонов, попадающих в резист, естественным образом колеблется примерно в среднем, что приводит к довольно широкому распределению фактических доз в областях, где оно должно быть постоянным, например, вдоль края элемента.
Распределение доз по сравнению со средней дозой. Согласно статистике Пуассона, увеличение среднего числа фотонов сужает распределение. Хотя средняя доза увеличена в четыре раза, расстояние между дальними хвостами двух распределений различается более чем на два порядка.
Разделение фотонов расфокусировкой, приводящее к большему стохастическому воздействию. Расфокусировка вызывает различную разность фаз (показаны здесь разными цветами) между мешающими лучами из разных точек зрачка, что приводит к разным изображениям. Поэтому фотоны из разных точек должны быть разделены по крайней мере между несколькими группами, уменьшая их количество и увеличивая стохастические эффекты.
Вероятность стохастического дефекта в зависимости от шага. Вероятность возникновения дефекта перемычки в металлической линии увеличивается с уменьшением полушага, но при заданной ширине линии увеличивается с шагом.
Разделение фотонов на дифрактограммы в зрачке. Стохастические эффекты усугубляются разделением фотонов на меньшее количество на дифракционную картину (каждая представлена ​​здесь разным цветом с разным процентом фотонов в квадранте зрачка) по зрачку. [56] [57]
Стохастические дефекты возникают из-за дозозависимого размытия. Зависимое от дозы размытие усиливает дробовой фотонный шум, из-за чего объекты не печатаются (красный) или перекрывают разрыв между соседними объектами (зеленый).

EUV-литография особенно чувствительна к стохастическим эффектам. [58] В большом количестве элементов, напечатанных с помощью EUV, хотя подавляющее большинство разрешено, некоторые из них не печатаются полностью, например, отсутствуют отверстия или перемычки. Известно, что значительный вклад в этот эффект вносит доза, используемая для печати. [59] Это связано с дробовым шумом., о чем будет сказано ниже. Из-за стохастических изменений в количестве поступающих фотонов некоторые области, предназначенные для печати, фактически не достигают порогового значения для печати, оставляя неэкспонированные дефектные области. Некоторые области могут быть переэкспонированы, что приведет к чрезмерной потере резиста или сшиванию. Вероятность стохастического отказа увеличивается экспоненциально по мере уменьшения размера элемента, и для того же размера элемента увеличение расстояния между элементами также значительно увеличивает вероятность. [59] [60] Отрезки линий, которые находятся на относительно большом расстоянии друг от друга, представляют собой серьезную проблему. Доходность требует обнаружения стохастических отказов до значений ниже 1e-12. [59]

Склонность к стохастическим дефектам усиливается, когда изображение состоит из фотонов от различных паттернов, таких как паттерн с большой площадью [56] [57] или от расфокусировки по заполнению большого зрачка. [61] [62]

Для одной и той же совокупности могут существовать несколько режимов отказа. Например, помимо перекрытия траншей, линии, разделяющие траншеи, могут быть нарушены. [59] Это может быть связано со стохастической потерей резиста [58] из вторичных электронов. [63] [64]

Сосуществование стохастически недоэкспонированных и переэкспонированных дефектных областей приводит к потере окна дозы на определенном уровне дефектов после травления между скалами формирования паттерна с низкой и высокой дозой. [65] Следовательно, выигрыш в разрешении от более короткой длины волны теряется.

Подложка из резиста также играет важную роль. [59] Это могло быть связано с вторичными электронами, генерируемыми подслоем. [66] Вторичные электроны могут удалить более 10 нм резиста с обнаженного края. [63] [67]

Уровень дефекта составляет порядка 1К / мм 2 . [68] В 2020 году Samsung сообщила, что 5-нанометровые схемы сопряжены с риском дефектов процесса, и начала внедрять автоматическую проверку и исправление. [69]

Фотонный дробовой шум может быть связан со стохастическими дефектами через наличие дозозависимого размытия (моделируется как гауссово). [70]

Оптические проблемы, связанные с EUV [ править ]

Асимметрия изображения из-за множества точек источника освещения. Поскольку засветка маски от разных точек источника находится под разными углами, разные точки фактически дают разные изображения. Слева направо представленные исходные точки расположены дальше от центра. Шаг линий 28 нм.

Случайные вариации многослойной отражательной способности [ править ]

GlobalFoundries и Lawrence Berkeley Labs провели исследование методом Монте-Карло для моделирования эффектов смешивания слоев молибдена (Mo) и кремния (Si) в многослойном слое, который используется для отражения EUV-света от EUV-маски. [71] Результаты показали высокую чувствительность к изменениям толщины слоя в атомном масштабе. Такие вариации не могут быть обнаружены измерениями отражательной способности на большой площади, но будут значительными в масштабе критического размера (CD). [71]

Ширина полосы частот ( хроматическая аберрация ) [ править ]

Зависимость углового спектра отражения от длины волны. Коэффициент отражения как функция угла падения существенно зависит от длины волны. Вставка: аподизация из-за угловой зависимости вызывает асимметричное распределение по зрачку для разных длин волн. [72]
Сдвиг изображения из-за расфокусировки зависит от длины волны. Угловая зависимость многослойной отражательной способности объекта (маски) различна для разных длин волн, что приводит к разным сдвигам при расфокусировке.

В отличие от источников для литографии DUV, основанных на эксимерных лазерах, источники EUV-плазмы излучают свет в широком диапазоне длин волн. [73] Хотя спектр EUV не является полностью монохроматическим и даже не таким спектрально чистым, как источники DUV-лазера, рабочая длина волны обычно принимается равной 13,5 нм. На самом деле отраженная мощность в основном распределяется в диапазоне 13,3-13,7 нм. [74] Ширина полосы пропускания EUV-света, отраженного многослойным зеркалом, используемым для EUV-литографии, составляет более +/- 2% (> 270 пм); [75] изменения фазы из-за изменений длины волны при заданном угле освещения можно вычислить [76] и сравнить с бюджетом аберраций. [77] Зависимость коэффициента отражения от длины волны [76][74] также влияет на аподизацию или распределение освещения по зрачку (для разных углов); разные длины волн эффективно «видят» разные источники света, поскольку они по-разному отражаются многослойной маской. [78] [74] Этот эффективный наклон освещения источника может привести к значительным сдвигам изображения из-за расфокусировки. [79] И наоборот, длина волны отраженного пика изменяется по зрачку из-за разных углов падения. [74] [80] Это усугубляется, когда углы охватывают большой радиус, например, кольцевое освещение. Длина волны пикового коэффициента отражения увеличивается при меньших углах падения. [81]Апериодические многослойные слои были предложены для снижения чувствительности за счет более низкой отражательной способности, но они слишком чувствительны к случайным колебаниям толщины слоя, например, из-за неточности контроля толщины или взаимной диффузии. [82] В частности, расфокусированные плотные линии с шагом, вдвое превышающим минимальный разрешаемый шаг, страдают от сдвига края в зависимости от длины волны. [83]

Более узкая полоса пропускания увеличила бы чувствительность к поглотителю маски и толщине буфера в масштабе 1 нм. [84] [85]

Эффекты фазы поглотителя маски [ править ]

Эффект фазового сдвига маски EUV. Фазовый сдвиг на EUV-маске, даже из слабо яркой области, смещает положение элемента, а также изменяет его ширину печати.
Изображение меняется благодаря фокусировке. Контрастность изображения меняется в зависимости от фокуса, как и ожидалось, но наилучшее положение фокуса сильно различается в зависимости от множества факторов, таких как в данном случае угол освещения.

Поглотитель маски EUV из-за частичного пропускания генерирует разность фаз между 0-м и 1-м порядками дифракции в линейно-пространственной структуре, что приводит к сдвигам изображения (при заданном угле освещения), а также к изменениям пиковой интенсивности (приводящим к ширине линии). изменения) [86], которые дополнительно усиливаются за счет расфокусировки. [87] [88]В конечном итоге это приводит к различным положениям наилучшего фокуса для разных шагов и разных углов освещения. Как правило, смещение изображения уравновешивается из-за того, что точки источника освещения спарены (каждая на противоположных сторонах оптической оси). Однако отдельные изображения накладываются друг на друга, и результирующий контраст изображения ухудшается, когда сдвиги отдельных исходных изображений достаточно велики. Разность фаз в конечном итоге также определяет наилучшее положение фокуса.

Отражающая оптика [ править ]

Шаги и ориентации в металлических схемах. Различия в шагах и ориентации металлических макетов вызовут сложности для EUV-литографии из-за использования отражающей оптики.

Фундаментальным аспектом инструментов EUVL, возникающим в результате использования отражающей оптики, является внеосевое освещение (под углом 6 градусов, в разных направлениях в разных положениях внутри осветительной щели) [89] на многослойной маске. Это приводит к эффектам затенения, приводящим к асимметрии в дифракционной картине, которая ухудшает ее точность различными способами, как описано ниже. [90]

Эффекты затенения толстой маски [ править ]

Эффект затенения на маске EUV. Когда размер элемента на маске сравним с толщиной, эффект затенения более значим для сформированного изображения, поскольку расстояние зазора тени не является незначительным по сравнению с размером элемента.

Наклонное падение в отражающей оптической системе приводит к эффектам затенения в присутствии поглотителя маски. Например, одна сторона (за тенью) будет казаться ярче, чем другая (в тени). [91]

Асимметрия ВН [ править ]

EUV HV разница фокусировки. Горизонтальные и вертикальные элементы по-разному сфокусированы в оптических системах EUV. Числовая апертура (NA) также имеет значение.
Нетелецентричность EUV. Слева : из-за большой разницы углов отражения между слоями одна сторона светового зрачка дает больше отраженного света. Справа : Следовательно, освещение с одной стороны будет доминирующим. Это приводит к разнице оптических путей между порядками дифракции по отношению к расфокусировке, что приводит к тенденции к смещению рисунка.

По сути, поведение световых лучей в плоскости отражения (влияющих на горизонтальные линии) отличается от поведения световых лучей вне плоскости отражения (влияющих на вертикальные линии). [92] Наиболее заметно, что горизонтальные и вертикальные линии одинакового размера на маске EUV напечатаны на пластине разного размера.

Разница компакт-диска в 2 бара в зависимости от фокуса. Разница между шириной двух соседних горизонтальных линий зависит от фокуса.

Асимметрии в наборах параллельных линий [ править ]

Комбинация внеосевой асимметрии и эффекта затенения маски приводит к принципиальной неспособности двух идентичных элементов даже в непосредственной близости одновременно находиться в фокусе. [93] Одной из ключевых проблем EUVL является асимметрия между верхней и нижней линиями пары горизонтальных линий (так называемые «две полосы»). Некоторые способы частично компенсировать это использование вспомогательных функций, а также асимметричного освещения. [94]

Расширение корпуса с двумя стержнями до решетки, состоящей из множества горизонтальных линий, показывает аналогичную чувствительность к расфокусировке. [95] Это проявляется в разнице CD между линиями верхнего и нижнего края набора из 11 горизонтальных линий. В таблице ниже приведена разница CD в диапазоне фокусировки 100 нм при освещении квазаров (освещение квазаров будет описано в разделе, посвященном оптимальному освещению в зависимости от шага).

Для шагов 40 нм или ниже ширина линий составляет 20 нм или меньше, а разница CD составляет не менее 2,5 нм, что дает разницу не менее 12,5%.

Двухстержневое появление неправильной планировки. Неправильный макет может включать в себя места с двумя полосами, которые склонны к асимметричному изображению.

Сдвиг паттерна от расфокусировки (нетелецентричность) [ править ]

Вертикальное размещение объекта маски [ править ]

Использование отражения приводит к тому, что положение экспонирования пластины чрезвычайно чувствительно к плоскостности сетки нитей и зажиму сетки. Поэтому необходимо поддерживать чистоту зажима сетки. Небольшие (в мрад) отклонения плоскостности маски на локальном склоне в сочетании с дефокусировкой пластины. [96] Что еще более важно, расфокусировка маски приводит к большим ошибкам наложения. [97] [98] В частности, для слоя металла 1 узла 10 нм (включая шаги 48 нм, 64 нм, 70 нм, изолированные и силовые линии) неисправимая ошибка размещения шаблона составляла 1 нм для маски z- 40 нм. сдвиг позиции. [99]Это глобальный сдвиг шаблона слоя по отношению к ранее определенным слоям. Однако элементы в разных местах также будут смещаться по-разному из-за различных локальных отклонений от плоскостности маски, например, из-за дефектов, скрытых под многослойным слоем. Можно оценить, что вклад неоднородности маски в ошибку наложения примерно в 1/40 раз превышает изменение толщины от пика к впадине. [100] При ширине холостого изображения 50 нм возможна ошибка размещения изображения ~ 1,25 нм. Изменения толщины холста до 80 нм также вносят свой вклад, что приводит к сдвигу изображения до 2 нм. [100]

Расфокусировка пластины [ править ]
Смещение рисунка металлического слоя 10-нм узла в зависимости от расфокусировки. Различные узоры в металлическом слое 10-нм узлов (24 нм л.с.) по-разному смещаются через фокус, в зависимости от ориентации и положения щели, а также от расположения.

Внеосевое освещение сетки также является причиной нетелецентричности в дефокусировке пластины, которая потребляет большую часть бюджета наложения 1,4 нм сканера NXE: 3400 EUV [101] даже для правил проектирования, таких как шаг 100 нм. [102] Наихудшая неисправимая ошибка размещения шаблона для линии 24 нм составляла около 1,1 нм относительно соседней линии электропередачи 72 нм на сдвиг положения фокуса пластины на 80 нм в одной позиции щели; когда включены характеристики поперек щели, наихудшая ошибка составляет более 1,5 нм в окне расфокусировки пластины [99]В 2017 году актинический микроскоп, имитирующий литографическую систему 0,33 NA EUV с освещением 0,2 / 0,9 quasar 45, показал, что матрица контактов с шагом 80 нм сместилась от -0,6 до 1,0 нм, а матрица контактов с шагом 56 нм сместилась от -1,7 до 1,0 нм относительно горизонтальная контрольная линия в пределах окна расфокусировки +/- 50 нм. [103]

Расфокусировка пластины также приводит к ошибкам размещения изображения из-за отклонений от локальной плоскостности маски. Если местный наклон обозначен углом α, изображение проецируется для смещения в инструменте 4-кратного проецирования на 8 α x (DOF / 2) = 4 α DOF, где DOF - глубина резкости. [104] Для глубины фокуса 100 нм небольшое локальное отклонение от плоскостности 2,5 мрад (0,14 °) может привести к смещению структуры на 1 нм.

Зависимость положения щели [ править ]

Кольцо-поле для равномерного освещения. Кольцевое поле относится к дугообразному полю, используемому для достижения равномерного освещения из точки, которая находится вне оси, как в отражающих оптических системах.
Вращение подсветки через щель кольцевого поля. Свет, отраженный от изогнутых оптических поверхностей, будет генерировать дуговые сегменты. [105] Углы освещения поворачиваются в азимутальном направлении через дугообразную щель (справа) из-за отражения дугообразного изображения от каждого положения зрачка в качестве точечного источника (слева). [106] [107] Зависящая от угла и длина волны многослойная картина распределения отражательной способности поворачивается соответствующим образом.

Направление освещения также сильно зависит от положения щели, по существу, повернутой в азимутальном направлении. [108] [109] [38] [110] [111] [112] Nanya Technology и Synopsys обнаружили, что горизонтальное и вертикальное смещение изменяется поперек щели при дипольном освещении. [113] Вращающаяся плоскость падения (азимутальный диапазон от -25 ° до 25 °) подтверждается актиничным обзорным микроскопом SHARP на CXRO, который имитирует оптику для систем проекционной литографии EUV. [114] Причина в том, что зеркало используется для преобразования прямых прямоугольных полей в дугообразные поля. [115] [116]Чтобы сохранить фиксированную плоскость падения, отражение от предыдущего зеркала должно происходить под другим углом к ​​поверхности для другого положения щели; это вызывает неравномерность отражательной способности. [7] Для сохранения однородности используется вращательная симметрия с вращающейся плоскостью падения. [7] [117] В более общем смысле, так называемые системы «кольцевого поля» уменьшают аберрации, полагаясь на симметрию вращения дугообразного поля, полученного из внеосевого кольцевого пространства. [118]Это предпочтительно, поскольку в отражающих системах должны использоваться внеосевые траектории, которые усугубляют аберрации. Следовательно, одинаковые рисунки штампов в разных половинах дугообразной щели потребуют разных OPC. Это делает их не поддающимися проверке при сравнении «умирает до смерти», поскольку они больше не являются полностью идентичными штампами. Для шагов, требующих дипольного, квадрупольного или гексапольного освещения, вращение также вызывает несоответствие с той же компоновкой рисунка в другом положении щели, то есть между краем и центром. Даже при кольцевом или круговом освещении вращательная симметрия нарушается зависящим от угла многослойным отражением, описанным выше. Хотя диапазон азимутального угла составляет +/- ~ 20 ° [119] ( полевые данные NXE3400 [120] показывают 18,2 ° [121]) на сканерах с числовой апертурой 0,33 при правилах проектирования 7 нм (шаг 36-40 нм) допуск на освещенность может составлять +/- 15 °, [122] [123] или даже меньше. [124] [125] [120] Неравномерность и асимметрия кольцевого освещения также существенно влияют на изображение. [126]

Зависимость положения щели особенно трудна для наклонных шаблонов, встречающихся в DRAM. [111] Помимо более сложных эффектов, связанных с затенением и вращением зрачка, наклонные края преобразуются в форму лестницы, которая может быть искажена OPC. Фактически, DRAM с шагом 32 нм от EUV будет увеличиваться как минимум до 9F 2 области ячеек, где F = половина шага активной области (традиционно это было 6F 2 ). [127] При двумерном вырезании активной области с самовыравниванием с двойным рисунком площадь ячеек все еще ниже и составляет 8,9F 2 . [128]

Освещение вращающимся диполем. Повернутое дипольное освещение (сплошные точки) вызывает деградацию рисунка, поскольку только свет от перекрытия между исходным (полые точки) и повернутыми точками может способствовать формированию изображения. Оставшийся свет снижает контрастность краев, действуя как фоновый свет без рисунка.

Аберрации , возникающие из-за отклонений оптических поверхностей от субатомных (<0,1 нм) спецификаций [129], а также тепловых деформаций [130] [131] и, возможно, включая эффекты поляризованного отражения, [132] , также зависят от положения щели, [133] [131], что будет дополнительно обсуждаться ниже в отношении оптимизации маски источника (SMO). Ожидается, что термически индуцированные аберрации будут демонстрировать различия между различными положениями через щель, соответствующими различным положениям поля, поскольку каждое положение сталкивается с разными частями деформированных зеркал. [134]Как ни странно, использование материалов подложки с высокой термической и механической стабильностью затрудняет компенсацию ошибок волнового фронта [135]

Flare [ править ]

Блики - это наличие фонового света, возникающего из-за рассеяния поверхностных элементов, которые не разрешаются светом. В системах EUV этот свет может быть EUV или внеполосным (OoB) светом, который также генерируется источником EUV. OoB-свет добавляет сложности, поскольку влияет на экспозицию резиста способами, отличными от того, что учитывается экспозицией EUV. Воздействие внеполосного света может быть уменьшено за счет слоя, нанесенного поверх резиста, а также за счет «черной границы» на маске EUV. [136] Однако слой покрытия неизбежно поглощает EUV-свет, а черная рамка увеличивает стоимость обработки EUV-маски.

Эффекты кончика линии [ править ]

Сложность перехода от кончика к кончику для плотных линий. Промежуток между концами линий сложно оптимизировать одновременно с плотными линиями.
Сложность печати с зазором из стороны в сторону. Промежуток между кончиками бумаги - одна из самых сложных функций для двунаправленной печати. Дипольное освещение поддерживает минимальную высоту звука, но не другую.

Ключевой проблемой для EUV является противодействие масштабированию расстояния от наконечника до наконечника лески (T2T) при уменьшении половинного шага (hp). [124] Это частично связано с более низким контрастом изображения для бинарных масок, используемых в литографии EUV, что не встречается при использовании масок с фазовым сдвигом в иммерсионной литографии. [137] [138] Закругление углов конца строки приводит к сокращению конца строки, [139] и это хуже для двоичных масок. [140] Использование масок фазового сдвига в EUV литографии было изучено, но сталкивается с трудностями из-за фазового контроля в тонких слоях [141], а также полосы пропускания самого EUV света. [142] Более условно,Оптическая коррекция приближения (OPC) используется для решения проблемы скругления углов и укорачивания концов строки. Несмотря на это, было показано, что разрешение от кончика к кончику и возможность печати на кончике линии идут вразрез друг с другом, фактически являясь компакт-дисками противоположной полярности. [143] Кроме того, эффективность оптических коррекций зависит от других неоптических причин, таких как размытие сопротивления и эффекты диффузии, которые также могут включать размытие вторичных электронов (обсуждается в разделе, посвященном экспонированию фоторезиста). [144] Кроме того, кажется, что большие молекулярные массы и размеры уменьшают скругление углов. [145]

В однонаправленных металлических слоях расстояние от наконечника до наконечника является одной из наиболее серьезных проблем при формировании рисунка с однократной экспозицией. Для 40 нм основного тона вертикальных линий, 18 нма номинал кончик к кончику обращаются разрыв привел к фактическому кончику к Кончику расстоянии 29 нм с OPC (оптическая коррекция близости) , [124] , а для 32 нм основного тона горизонтальных линий расстояние от наконечника до наконечника при номинальном зазоре 14 нм увеличилось до 31 нм с OPC. [146] Эти фактические расстояния от наконечника до наконечника определяют нижний предел полутона металла, движущегося в направлении, перпендикулярном наконечнику. В этом случае нижний предел составляет около 30 нм. При дальнейшей оптимизации освещения (обсуждаемой в разделе об оптимизации маски источника) нижний предел может быть дополнительно снижен до примерно 25 нм. [147]

Для больших шагов, где можно использовать обычное освещение, расстояние от кончика до кончика лески обычно больше. Для линий с половинным шагом 24 нм с номинальным зазором 20 нм расстояние фактически составляло 45 нм, в то время как для линий с половинным шагом 32 нм такой же номинальный зазор давал расстояние от наконечника до наконечника, равное 34 нм. [146] С OPC они становятся 39 нм и 28 нм для полушага 24 нм и полушага 32 нм соответственно. [148]

Печатное пространство между кончиком линии и перпендикулярной линией, к которой он обращен, составляет 25-35 нм для линий с половинным шагом 22 нм (с номинальным зазором 20 нм). [148] Для диаграммы направленности 22 нм с номинальным зазором 22 нм обычное освещение дает расстояние от вершины до линии 38 нм, в то время как освещение квазаров дает расстояние 28 нм. [149] Зазор между кончиками бумаги - одна из самых трудных для печати двунаправленных деталей. [148]

Сводка эффектов кончика и углов линии EUV: [150]

Источник: Semicon West 2017, IBM.

Расстояние до конца линии, равное 28 нм, по существу вынуждает шаг составлять не менее 56 нм для создания двунаправленного рисунка EUV при однократном экспонировании. Минимальный шаг металлического узла в 7 нм уже составляет 40 нм или ниже, в то время как шаг затвора также может быть ниже 56 нм [151] [152], так что это показатель того, что потребуется множественное формирование рисунка даже для EUV на 7 нм. [153]

Возможности улучшения паттерна EUV [ править ]

Вспомогательные функции [ править ]

Вспомогательная функция OPC. Вспомогательные функции помогают улучшить изображение отдельных объектов (синий), чтобы оно больше походило на плотные объекты (серый). Однако чем они эффективнее, тем выше риск того, что вспомогательная функция будет распечатана (оранжевый).
Эффект узора на SMO. Простое расширение шины питания (верх и низ образца образца) приводит к значительным изменениям в оптимизированном зрачке, а также к уменьшению окна фокусировки даже при использовании вспомогательных функций.

Вспомогательные функции часто используются, чтобы помочь сбалансировать асимметрию от нетелецентричности в разных положениях щели из-за разных углов освещения, начиная с узла 7 нм, [154] [155], где шаг составляет ~ 41 нм для длины волны ~ 13,5 нм. и NA = 0,33, что соответствует k1 ~ 0,5. [156] Однако асимметрия уменьшается, но не устраняется полностью, поскольку вспомогательные функции в основном усиливают самые высокие пространственные частоты, тогда как промежуточные пространственные частоты, которые также влияют на фокус и положение элемента, не сильно затрагиваются. Связь между основным изображением и собственными изображениями слишком сильна, чтобы асимметрию можно было полностью устранить с помощью вспомогательных функций; Только асимметричное освещение может добиться этого. [94]Вспомогательные функции также могут мешать доступу к шинам питания / заземления. Ожидается, что рельсы питания будут шире, что также ограничивает эффективность использования вспомогательных функций из-за ограничения местного шага. Локальные высоты звука между 1x и 2x минимальным шагом запрещают размещение вспомогательных функций, поскольку просто нет места для сохранения локальной симметрии высоты звука. Фактически, для случая асимметрии с двумя стержнями оптимальное размещение вспомогательных функций может быть меньше или превышать шаг с двумя стержнями. [155] В зависимости от оптимизируемого параметра (площадь окна обработки, глубина резкости, широта экспозиции) оптимальная конфигурация вспомогательных функций может сильно отличаться, например, шаг между вспомогательной функцией и полосой отличается от шага двух полосок, симметричный или асимметричный и т. д.

При шаге менее 58 нм существует компромисс между увеличением глубины резкости и потерей контраста за счет размещения вспомогательных функций. [155] Как правило, все еще существует компромисс между фокусом и экспозицией, поскольку окно дозы ограничено необходимостью того, чтобы вспомогательные функции не печатались случайно.

Дополнительную озабоченность вызывает дробовой шум; [157] Вспомогательные функции с суб-разрешением (SRAF) снижают требуемую дозу, чтобы случайно не распечатать вспомогательные функции. [158] Это приводит к меньшему количеству фотонов, определяющих более мелкие детали (см. Обсуждение в разделе о дробовом шуме).

Теперь известно, что основным механизмом асимметрии является различное затенение под разными углами падения. Следовательно, уменьшение толщины поглотителя было бы самым прямым способом решения проблемы. [159]

Стохастическая печать SRAF [ править ]

Стохастическая печать вспомогательных функций с низким разрешением. SRAF получают достаточно низкие дозы, которые достаточно близки к печати, чтобы иметь более значительное стохастическое влияние на печать. Здесь ошибка печати SRAF возникает справа.

Поскольку SRAF имеют меньшие размеры, чем основные, и не должны принимать дозы, достаточно высокие для печати, они более восприимчивы к стохастическим изменениям дозы, вызывающим ошибки печати. [160] Это особенно недопустимо для EUV, где даже когда основной элемент печатается со скоростью 80 мДж / см 2 , SRAF страдает от стохастической печати.

Оптимизация маски источника [ править ]

Оптимизация маски источника (SMO) используется для уменьшения смещения структуры для различных элементов в металлическом слое (нацелена на 16 нм CD с шагом привязки 32 нм) за одну экспозицию, но не может удовлетворить все возможные случаи.
Эффект высоты звука на SMO. SMO, выполненное нацелено на один шаг (32 нм в данном случае), может иметь различные характеристики для других шагов. Здесь 36 нм имеет лучшую производительность, но едва превышает нижний предел NILS 2,0.

Из-за эффектов нетелецентричности стандартные формы светового зрачка, такие как дисковый или кольцевой, недостаточны для использования для элементов размером ~ 20 нм или ниже (узел 10 нм и более). [102] Вместо этого необходимо асимметрично исключить определенные части зрачка (часто более 50%). Исключаемые части зависят от рисунка. В частности, самые плотные разрешенные линии должны быть выровнены вдоль одного направления и предпочитать форму диполя. В этой ситуации литография с двойной экспозицией может потребоваться для двумерных узоров из-за наличия как X-, так и Y-ориентированных узоров, каждый из которых требует своей собственной маски одномерного узора и ориентации диполя. [161] [162]Может быть 200–400 точек освещения, каждая из которых вносит свой вклад в дозу, чтобы сбалансировать общее изображение через фокусировку. Таким образом, эффект дробового шума (который будет обсуждаться позже) критически влияет на положение изображения через фокусировку в большом количестве объектов.

Двойное или множественное формирование рисунка также может потребоваться, если рисунок состоит из суб-рисунков, которые требуют значительно разных оптимизированных освещений из-за разных шагов, ориентации, форм и размеров.

Влияние положения щели и аберрации [ править ]

Воздействие разных длин волн. У разных длин волн фактически разные зрачки, что приводит к разным результатам оптимизации маски источника.

Во многом из-за формы щели [119] и наличия остаточных аберраций [163] эффективность SMO варьируется в зависимости от положения щели. [164] В каждом положении щели есть разные аберрации [133] и разные азимутальные углы падения, что приводит к разному затемнению. [38] Следовательно, могут быть нескорректированные вариации по щели для чувствительных к аберрации особенностей, которые не могут быть явно видны с регулярными структурами между строками. [155] В каждом положении прорези, хотя оптическая коррекция близости (OPC) , включая упомянутые выше вспомогательные функции, также может применяться для устранения аберраций, [165] [166]они также вносят свой вклад в спецификации освещения, [167] [164] [168] [169], поскольку преимущества различаются для разных условий освещения. [165] Это потребует использования различных комбинаций маски источника в каждой позиции щели, т. Е. Множественных экспозиций маски на слой. [133]

Вышеупомянутые хроматические аберрации из-за аподизации, вызванной маской [78], также приводят к несогласованным оптимизациям маски источника для разных длин волн.

Оптимальное освещение в зависимости от высоты звука [ править ]

Ухудшение качества изображения с уменьшением шага при обычном EUV-освещении. Это побудило к большему вниманию к другим режимам освещения, таким как QUASAR.

Оптимальное освещение, принимая во внимание как дозу облучения, так и окна фокусировки, является сильной функцией шага в диапазоне от 32 нм до 48 нм (применительно к литейным узлам 7 нм и 10 нм), где большая часть работы проводится в EUV-диапазоне. приложение было сфокусировано. Для шагов, превышающих 44 нм, форма светового зрачка предпочтительно является обычной, то есть круглым диском, возможно, включающим центральное затемнение для обеспечения кольцевого внешнего вида. [146] Для шагов в диапазоне от 44 нм до 34 нм оптимальная форма больше не является традиционной или кольцевой, а больше похожа на «квазар» ( Qua drupole- s has a nnula r ) [170] источник, т. Е. дуга в каждом квадранте зрачка.[146] Для шагов 32 нм и ниже оптимальное освещение становится более дипольным, т. Е. Сосредоточенным в направлении верхнего и нижнего или левого и правого концов зрачка. [124] Когда выполняется оптимизация маски источника, результирующая форма будет напоминать наиболее близкую из стандартного набора (обычный, кольцевой, квазар, диполь). Для шагов менее 41 нм центральная часть зрачка должна быть исключена для инструмента с NA = 0,33, так как EUV-свет 13,5 нм, проходящий через эту часть, будет вносить только вклад в нулевой порядок дифракции (нерассеянный свет), эффективно добавляя блики. [171]

Окна фокуса в зависимости от высоты тона [ править ]

Наилучший фокус для данного размера элемента зависит от шага, полярности и ориентации при заданном освещении. [172] При шаге 36 нм горизонтальные и вертикальные элементы темного поля имеют разницу в фокусе более 30 нм. Элементы с шагом 34 нм и 48 нм имеют наибольшую разницу в наилучшей фокусировке независимо от типа элемента. В диапазоне шага 48-64 нм наилучшее положение фокуса смещается примерно линейно в зависимости от шага на целых 10-20 нм. [173] Для диапазона шага 34–48 нм наилучшее положение фокуса смещается примерно линейно в противоположном направлении в зависимости от шага. Это можно коррелировать с разностью фаз между нулевым и первым порядками дифракции. [174]Было обнаружено, что вспомогательные функции, если они могут поместиться в пределах шага, не сильно уменьшают эту тенденцию для диапазона промежуточных шагов [175] или даже ухудшают ее для случая 18-27 нм и освещения квазаров. [176] Контактные отверстия 50 нм на шагах 100 и 150 имели наилучшие положения фокуса, разделенные примерно 25 нм; ожидается, что меньшие функции будут хуже. [177] Контактные отверстия в диапазоне шагов 48-100 нм показали лучший диапазон фокусировки 37 нм. [178] Лучшее положение фокуса в зависимости от высоты тона также зависит от сопротивления. [179]Критические слои часто содержат линии с одним минимальным шагом одной полярности, например, траншеи темного поля, в одной ориентации, например, вертикальные, смешанные с промежутками другой полярности другой ориентации. Это часто увеличивает разницу в фокусе и затрудняет визуализацию от кончика до кончика и от кончика до кончика. [180]

Освещение для продвинутых узлов [ править ]

Для литейного узла «5 нм» минимальный шаг металла для горизонтальных линий принят равным примерно 32 нм [181], для которого предпочтительнее дипольное освещение, но минимальный шаг металла для вертикальных линий (параллельных воротам) составляет принято около 40 нм, [181] для которого предпочтительнее квазароподобное освещение. Более того, для литейного узла «7 нм» минимальный шаг металла для горизонтальных линий принят равным около 40 нм [181], для которого ожидается квазароподобное освещение, в то время как минимальный шаг металла для вертикальных линий можно принять равным около 50 нм, [181]для которых предпочтительнее обычное или кольцевое освещение. Для освещения квазаров наилучшее положение фокуса сильно варьируется в зависимости от шага, особенно 36-40 нм против 48-60 нм, а также в диапазоне 48-72 нм. [182] Для этих узлов невозможно иметь одну настройку экспонирования EUV, которая соответствовала бы обоим направлениям металлических линий с разными соответствующими шагами. В любом случае для этих узлов ожидаются однонаправленные металлические слои. [181] В этом случае ожидается, что зазор между концом линии будет достаточно небольшим за счет использования разрезов в сценарии множественного формирования рисунка . [124]

Маски фазового сдвига [ править ]

Фазовый профиль маски с ослабленным фазовым сдвигом для EUV. Фазовый профиль (красный) для маски с ослабленным фазовым сдвигом, используемой с частично пропускающим EUV-поглотителем, не соответствует идеальному дизайну профиля (пунктирный) из-за наклонного падающего освещения и краевого рассеяния поглотителя.

Обычно рекламируемым преимуществом EUV является относительная простота литографии, о чем свидетельствует отношение размера элемента к длине волны, умноженное на числовую апертуру, также известное как коэффициент k1. Ширина линии металла 18 нм имеет k1 0,44 для длины волны 13,5 нм, например, 0,33 NA. Для k1, приближающегося к 0,5, некоторое слабое улучшение разрешения, включая маски с ослабленным фазовым сдвигом , было использовано как необходимое для производства с длиной волны ArF-лазера (193 нм), [183] [184] [185] [186] [187] [188] тогда как это улучшение разрешения недоступно для EUV. [189] [190] В частности, эффекты трехмерной маски, включая рассеяние на краях поглотителя, искажают желаемый фазовый профиль.[190] Кроме того, фазовый профиль эффективно выводится из спектра плоской волны, отраженной от мультислоя через поглотитель, а не падающей плоской волны. [191] Без поглотителей искажение ближнего поля также возникает на протравленной многослойной боковой стенке из-за наклонного падающего освещения; [192] некоторый свет проходит только через ограниченное количество бислоев около боковой стенки. [91] Кроме того, разные поляризации (TE и TM) имеют разные фазовые сдвиги. [91]

Вторичные электроны [ править ]

EUV-свет генерирует фотоэлектроны при поглощении веществом. Эти фотоэлектроны, в свою очередь, генерируют вторичные электроны, которые замедляются, прежде чем вступить в химические реакции. [193] Известно, что при достаточных дозах 40 эВ электроны проникают сквозь резист толщиной 180 нм, что приводит к проявлению. [194] При дозе 160 мкКл / см 2 , соответствующей дозе EUV 15 мДж / см 2, предполагающей один электрон / фотон, 30 эВ электронов удалили 7 нм резиста ПММА после стандартной проявки. [195] Для более высокой дозы 30 эВ, равной 380 мкКл / см 2 , что эквивалентно 36 мДж / см 2 на один электрон / фотон, удаляется 10,4 нм резиста из ПММА. [196]Они показывают расстояния, на которые электроны могут пройти в сопротивлении, независимо от направления. [197]

При последнем измерении значительного влияния вторичных электронов на разрешение было обнаружено, что фотоэлектроны с энергией 93 эВ (из золотого подслоя) имели длину ослабления 1 / e 28 нм в резисте. [198] Затухание числа электронов измерялось по доле электронов, захваченных электрическим током от резиста. Это указывает на то, что 37% выпущенных электронов все еще мигрируют за пределы 28 нм от точки высвобождения экспонирования.

Более подробная информация о вторичных электронах при экспонировании фоторезиста EUV представлена ​​ниже.

Экспозиция фоторезиста [ править ]

Когда EUV-фотон поглощается, фотоэлектроны и вторичные электроны генерируются за счет ионизации , подобно тому, как это происходит, когда рентгеновские лучи или электронные лучи поглощаются веществом. [199] Доза фотонов EUV 10 мДж / см 2 приводит к генерации дозы фотоэлектронов 109 мкКл / см 2 . Резист с более высокой степенью поглощения удаляет больше света в верхней части резиста, оставляя меньше света для нижней части резиста. Чем больше поглощение, тем больше разница между поглощенными дозами наверху и внизу резиста.

Другими словами, чем меньше поглощает резист, тем более равномерно поглощение по вертикали. Обычно фоторезисты делают максимально прозрачными, чтобы добиться такой вертикальной однородности, которая позволяет получить более прямые профили фоторезиста. С другой стороны, для EUV это противоречит цели увеличения поглощения для большей чувствительности при текущих уровнях мощности EUV. Дробовой шум - еще одна проблема, которая будет объяснена ниже.

Влияние движения фотоэлектронов и вторичных электронов на разрешение [ править ]

Электронное размытие с низкой энергией изменяет изображение, уменьшая локальный градиент дозы.
Миграция электронов с низкой энергией. Расстояние прохождения электронов с низкой энергией (r) может достигать, по крайней мере, нескольких нанометров, даже если расстояние между столкновениями электронов (рассеяние) составляет 1 нм.

Исследование Колледжа наноразмерных наук и инженерии (CNSE), представленное на семинаре EUVL в 2013 году, показало, что в качестве меры размытия фотоэлектронов и вторичных электронов EUV электроны с энергией 50–100 эВ легко проникают за пределы толщины резиста 15 нм (PMMA или коммерческий resist), что указывает на диапазон воздействия резиста более 30 нм с центром в точке поглощения EUV, для доз, превышающих 200–300 мкКл / см 2 . [200] Это можно сравнить с ухудшением контраста изображения, о котором сообщалось для шагов менее 40 нм позже в 2015 году. [66]

Процесс проникновения электронов через резист - это, по сути, случайный процесс; существует конечная вероятность того, что сопротивление облучению высвободившимися электронами может произойти довольно далеко от точки поглощения фотона. [201] [202] Увеличение дозы увеличивает количество далеко идущих электронов, что приводит к более значительным потерям сопротивления. Ведущий резист, химически усиленный в ЭУФ-диапазоне, подвергнутый воздействию электронов 80 эВ при дозе до 80 мкК / см 2, показал потерю толщины резиста до 7,5 нм. [203] Для резиста с открытым исходным кодом, экспонированного около 200 мкКл / см 2 с помощью электронов 80 эВ, толщина резиста, потерянная после запекания и проявки после экспонирования, составила около 13 нм, в то время как удвоение дозы привело к увеличению потерь до 15 нм. [63]С другой стороны, при дозах> 500 мкКл / см 2 резист начинает загустевать из-за сшивки. [203]

Было показано, что степень фотоэлектронной эмиссии из слоя под фоторезистом EUV влияет на глубину фокуса. [204] К сожалению, слои жесткой маски увеличивают эмиссию фотоэлектронов, уменьшая глубину резкости.

Вторичное электронное размытие против дозы [ править ]

Вторичное электронное размытие в зависимости от дозы. Вторичное электронное размытие может значительно увеличиваться с увеличением дозы.
Распространение вторичных электронов в зависимости от дозы. Радиальный разброс вторичных электронов от точечного источника увеличивается в зависимости от дозы.

Прямые измерения фототока использовались для получения вторичных электронов в ответ на EUV-излучение. Моделирование, примерно откалиброванное для этого выхода, показывает, что размытие вторичных электронов увеличивается с дозой. [205] Это связано с уменьшением количества участков улавливания по мере увеличения дозы и протекания реакций, истощающих сайты улавливания.

Количество событий, вызванных низкоэнергетическими электронами, также увеличивается на заданном расстоянии от места поглощения фотонов по мере увеличения дозы. Это было подтверждено измерениями потери толщины резиста в зависимости от дозы низкоэнергетических электронов. [206] Зависимое от дозы распространение вторичных электронов было также известно ранее из электронно-лучевой литографии. [207]

Увеличенное размытие вторичных электронов с увеличением дозы затрудняет контроль стохастических дефектов. [208]

Зарядка и захват электронов [ править ]

Из-за образования вторичных электронов различной энергии заряд резиста может локально колебаться. [209] EUV-экспонирование с меньшим размытием приводит к более выраженным различиям зарядов на краю элемента [210], что может привести к более сильным электрическим полям. [211] Было обнаружено, что такие большие электрические поля приводят к пробою диэлектрика. [209] Захват вторичных электронов приводит к уменьшению количества вторичных электронов, эмитированных из пленки; [209] однако места захвата сами могут быть истощены, что приводит к эффективному расширению размытия вторичных электронов для больших доз. [205] Захват электронов предсказывается как часть поляронного поведения, [212][213], который ограничивает конечное энерговыделение электрона местоположениями ловушек. Размер полярона может быть довольно большим в резистах, например 46 нм в ПММА. [213]

Чувствительность DUV [ править ]

EUV-резисты также подвергаются воздействию длин волн, превышающих EUV, в частности, длин волн VUV и DUV в диапазоне 150–250 нм. [214]

Сопротивляйтесь выделению газов [ править ]

Газовыделение загрязнения по сравнению с СУФ дозой: Увеличение дозы до размера (Е размера ) , чтобы уменьшить уровень шума выстрела и шероховатость приходит по цене от загрязнения повышенной дегазации. Толщина загрязнения указана относительно эталонного резиста.

Из-за высокой эффективности поглощения EUV фоторезистами нагрев и дегазация становятся первоочередными задачами. Органические фоторезисты выделяют углеводороды [215], а фоторезисты оксидов металлов выделяют воду, кислород [216] и металл (в среде водорода); последний не подлежит очистке. [47] Загрязнение углеродом, как известно, влияет на многослойную отражательную способность [217], в то время как кислород особенно вреден для покрывающих слоев рутения на многослойной оптике EUV. [218]

Эффекты загрязнения [ править ]

Одна хорошо известная проблема - это отложение на резисте загрязнений из окружающей среды или выделившихся углеводородов, которое возникает в результате реакций, управляемых электронным или электронным излучением. [219]

Побочные эффекты водорода для удаления загрязнений: повторное осаждение олова, образование пузырей, сопротивление эрозии [ править ]

Атомарный водород в инструментальных камерах используется для очистки олова и углерода, которые откладываются на оптических поверхностях EUV. [220] Реакция с оловом в источнике света, резисте или на оптической поверхности с образованием летучего SnH4 протекает по реакции:

Sn (т) + 4H (г) → SnH 4 (г). [220]

SnH 4 может достигать покрытий других оптических поверхностей EUV, где он повторно осаждает Sn посредством реакции:

SnH 4 → Sn (т) + 2H 2 (г). [220]

Повторное осаждение также может происходить в результате других промежуточных реакций. [221]

Повторно осажденный Sn [46] [47] может быть впоследствии удален путем воздействия атомарного водорода. Однако в целом эффективность очистки олова составляет менее 0,01% из-за повторного осаждения и десорбции водорода, что приводит к образованию молекул водорода за счет атомарного водорода. [220]

Удаление углерода происходит путем образования метана при более низких температурах или образования ацетилена при более высоких температурах: [220]

H (реклама) + C → CH (реклама) Образование метана:
CH (реклама) + H (реклама) → CH 2 (реклама)CH 2 (реклама) + H (реклама) => CH 3 (реклама)CH 3 (адс) + H (адс) => CH 4 (г) Образование ацетилена:
CH (адс) + CH (адс) → C 2 H 2 (г)

Атомарный водород образуется под действием EUV-света непосредственно фотоионизирующим H 2 :

hν + H 2 → H + + H + e - [222]

Электроны, генерируемые в указанной выше реакции, также могут диссоциировать H 2 с образованием атомарного водорода:

е - + H 2 → H + + H + 2e - [222]
Дефекты образования пузырей, вызванные водородом. Атомарный водород (красные точки), используемый для очистки поверхностей, может проникать под поверхность. В многослойных слоях Mo / Si образуется и захватывается H 2 (парные красные точки), что приводит к образованию пузырей (белой области).

Водород также реагирует с металлосодержащими соединениями, восстанавливая их до металла [223], и диффундирует через кремний [224] и молибден [225] в многослойном слое, в конечном итоге вызывая образование пузырей. [226] [227] Покрывающие слои, которые смягчают связанные с водородом повреждения, часто снижают отражательную способность до значительно ниже 70%. [226] Покрывающие слои, как известно, проницаемы для окружающих газов, включая кислород [228] и водород, [229] [230] [231] [232], а также чувствительны к образованию пузырей, вызванных водородом. [233] Водород также может реагировать с защитным слоем, что приводит к его удалению. [234]Водород также реагирует с резистами, чтобы травить [235] [236] или разлагать [237] их. Помимо фоторезиста, водородная плазма также может травить кремний, хотя и очень медленно. [238]

Мембрана [ править ]

Чтобы помочь смягчить вышеуказанные эффекты, последний инструмент EUV, представленный в 2017 году, NXE: 3400B, оснащен мембраной, которая отделяет пластину от проекционной оптики инструмента, защищая последнюю от выделения газа из резиста на пластине. [49] Мембрана содержит слои, которые поглощают ДУФ и ИК-излучение и пропускают 85-90% падающего ЭУФ-излучения. Конечно, существует накопленное загрязнение от выделения газа из пластины, а также частицы в целом (хотя последние не в фокусе, они все же могут препятствовать свету).

Скрыть дефекты [ править ]

Возможность печати дефекта маски EUV. Дефекты с высотой в атомном масштабе могут повлиять на размеры, напечатанные с помощью EUV, даже если они скрыты многими слоями. Источник: Национальная лаборатория Лоуренса Беркли и Intel.
Возможность печати дефекта EUV в зависимости от высоты тона. Печатная способность (здесь 10% CD) дефекта заданной высоты и ширины зависит от шага. Обратите внимание, что даже шероховатость поверхности многослойного материала здесь может иметь заметное влияние.

Уменьшение дефектов на масках с крайним ультрафиолетом (EUV) в настоящее время является одной из наиболее важных проблем, которые необходимо решить при коммерциализации EUV-литографии. [239] Дефекты могут быть скрыты под или внутри многослойной стопки [240] или наверху многослойной стопки. На мишенях для распыления, используемых для многослойного осаждения, образуются сетки или выступы, которые могут выпадать в виде частиц во время многослойного осаждения. [241] Фактически, дефекты с высотой атомного масштаба (0,3–0,5 нм) с полушириной 100 нм все еще можно печатать, демонстрируя 10% -ное воздействие CD. [242] IBM и Toppan сообщили на Photomask Japan 2015, что более мелкие дефекты, например, размером 50 нм, могут иметь 10% -ное воздействие CD даже при высоте 0,6 нм, но остаются необнаруживаемыми.[243]

Кроме того, край фазового дефекта дополнительно снизит отражательную способность более чем на 10%, если его отклонение от плоскостности превышает 3 градуса, из-за отклонения от целевого угла падения в 84 градуса по отношению к поверхности. Даже если высота дефекта мала, кромка все равно деформирует вышележащий многослойный слой, создавая расширенную область, где многослойный слой имеет наклон. Чем резче деформация, чем уже протяженность края дефекта, тем больше потеря отражательной способности.

Ремонт дефектов маски EUV также более сложен из-за упомянутого выше изменения освещенности поперек щели. Из-за различной чувствительности затенения через щель высоту восстановительного наплавления необходимо контролировать очень тщательно, поскольку она может быть разной в разных положениях через прорезь для освещения EUV-маски. [244]

Многослойное повреждение [ править ]

Множественные импульсы EUV с плотностью менее 10 мДж / см 2 могут привести к накоплению повреждений многослойного зеркального оптического элемента из Mo / Si, покрытого Ru. [245] Угол падения составлял 16 ° или 0,28 рад, что находится в пределах диапазона углов для оптической системы с числовой апертурой 0,33.

Пелликулы [ править ]

Производственные инструменты EUV нуждаются в пленке для защиты маски от загрязнения. В настоящее время еще нет гарантии, что пленка выдержит мощность 250 Вт, необходимую для крупносерийного производства; спецификация - 40 Вт. [246]

Обычно ожидается, что пелликулы будут защищать маску от частиц во время транспортировки, входа в камеру экспонирования или выхода из нее, а также во время самого воздействия. Без пленок сумматоры частиц снизили бы выход, что не было проблемой для традиционной оптической литографии с светом 193 нм и пленками. Однако для EUV возможность использования пленок серьезно затруднена из-за необходимой толщины экранирующих пленок для предотвращения чрезмерного поглощения EUV. Загрязнение частицами было бы недопустимым, если бы пленки не были стабильными при мощности выше 200 Вт, т. Е. Целевой мощности для производства. [247]

Нагревание пленки маски EUV (температура пленки до 750 K при падающей мощности 80 Вт) является серьезной проблемой из-за возникающей в результате деформации и уменьшения пропускания. [248] ASML разработала пленочную пленку из поликремния толщиной 70 нм, которая обеспечивает пропускание EUV на 82%; однако менее половины мембран выдержали ожидаемые уровни мощности EUV. [249] Мембраны из пленок SiNx также вышли из строя при уровнях мощности источника EUV, эквивалентных 82 Вт. [250] Ожидается, что при целевом уровне 250 Вт температура пленки достигнет 686 градусов Цельсия, [251]значительно выше точки плавления алюминия. Альтернативные материалы должны обеспечивать достаточную передачу, а также сохранять механическую и термическую стабильность. Однако графит, графен или другие углеродные наноматериалы (нанолисты, нанотрубки) повреждаются EUV из-за высвобождения электронов [252], а также слишком легко травятся в очищающей водород плазме, которая, как ожидается, будет использоваться в EUV сканерах. [253] Водородная плазма также может травить кремний. [254] [255] Покрытие помогает улучшить водородостойкость, но это снижает пропускание и / или излучательную способность, а также может повлиять на механическую стабильность (например, вздутие). [256] Отсутствие подходящего материала пленки, усугубляемое использованием водородной плазмы.Очистка в сканере EUV [257] [258] представляет собой препятствие для массового производства. [259]

Морщины на пленках могут вызвать неоднородность CD из-за неравномерного впитывания; это хуже для более мелких морщин и более когерентного освещения, т. е. нижнего заполнения зрачка. [260]

ASML , единственный поставщик инструментов EUV, сообщил в июне 2019 года, что пленки, необходимые для критических слоев, по-прежнему нуждаются в улучшении. [261]

В отсутствие пленок чистоту EUV-маски необходимо будет проверить до того, как будут экспонированы фактические пластины продукта, с использованием пластин, специально подготовленных для проверки дефектов. [262] Эти пластины проверяются после печати на предмет повторяющихся дефектов, указывающих на грязную маску; если таковые обнаружены, маску необходимо очистить и обнажить еще один набор контрольных пластин, повторяя поток до тех пор, пока маска не станет чистой. Любые поврежденные вафли продукта необходимо переработать.

Дефекты вздутия водородом [ править ]

Как обсуждалось выше, что касается удаления загрязнений, водород, используемый в последних системах EUV, может проникать в слои маски EUV. После захвата образовывались дефекты выпуклости. [233] По сути, это дефекты в виде пузырей, которые возникают после достаточного количества воздействий маски EUV в водородной среде.

Пределы масштабирования пропускной способности [ править ]

Разрешение литографии EUV в будущем сталкивается с проблемами в поддержании пропускной способности, то есть того, сколько пластин обрабатывается инструментом EUV в день. Эти проблемы возникают из-за меньших полей, дополнительных зеркал и дробового шума. Чтобы поддерживать пропускную способность, мощность в промежуточном фокусе (IF) должна постоянно увеличиваться.

Уменьшенные поля [ править ]

Уменьшение размера поля уменьшением. Увеличение уменьшения с 4X до 8X в одном измерении разделило бы исходное полное поле изображения на две части, чтобы сохранить ту же площадь кристалла (26 мм × 33 мм).
Полевая строчка. Объединение полей экспозиции является проблемой, когда критические объекты пересекают границу поля (красная пунктирная линия).

Подготовка анаморфной линзы с числовой апертурой от 0,5 до 0,6 ведется с 2016 года. Уменьшение будет 8X в одном измерении и 4X в другом, а угол отражения увеличится. [263]

Более высокое уменьшение увеличит размер маски или уменьшит размер печатаемого поля. Уменьшение размера поля приведет к разделению полноразмерных шаблонов микросхем (обычно размером 26 мм × 33 мм) между двумя или более обычными 6-дюймовыми масками EUV. Большие (приближающиеся к 500 мм 2 или превышающие их ) микросхемы, обычно используемые для графических процессоров [264] или серверов [265] , должны быть сшиты вместе из двух или более подшаблонов из разных масок. [266] Без сшивания полей размер штампа был бы ограничен. При сшивании полей элементы, которые пересекают границы поля, будут иметь ошибки выравнивания, а дополнительное время, необходимое для смены масок, снизит пропускную способность системы EUV. [267]

Дробовой шум: предел статистического разрешения [ править ]

Дробовой шум вызывает значительные вариации CD. Набор отверстий с шагом 64 нм показывает значительные эффекты дробового шума с дозой EUV чуть более 10 мДж / см 2 . Более высокая доза приведет к тому же диапазону вариаций для большего размера выборки.

При естественном распределении Пуассона из-за случайного времени прихода и поглощения фотонов [268] [269] существует ожидаемое изменение естественной дозы (числа фотонов) не менее нескольких процентов 3 сигма, что делает процесс экспонирования восприимчивым к стохастическим изменениям. . Изменение дозы приводит к изменению положения края элемента, фактически становясь компонентом размытия. В отличие от жесткого предела разрешения, налагаемого дифракцией, дробовой шум накладывает более мягкий предел, при этом основным ориентиром является шероховатость линии (LWR) ITRS, равная 8% (3 с) от ширины линии. [270] Увеличение дозы снижает дробовой шум, [271], но для этого также требуется более высокая мощность источника.

Область вспомогательных функций шириной 10 нм и длиной 10 нм при целевой дозе без печати 15 мДж / см 2 и с поглощением 10% определяется чуть более чем 100 фотонами, что приводит к шуму 6 с 59%, что соответствует до диапазона стохастических доз от 6 до 24 мДж / см 2 , что может повлиять на пригодность для печати.

Исследование, проведенное Intel в 2017 году, показало, что для полуизолированных переходных отверстий (чей диск Эйри можно аппроксимировать гауссианом) чувствительность КД к дозе была особенно сильной [272], достаточно сильной, чтобы уменьшение дозы могло нелинейно привести к отказу распечатать переходное отверстие.

Из-за сбоя печати из-за снижения дозы, вызванного шумом. Снижение дозы, вызванное дробовым шумом, в крайних случаях может привести к сбою печати (CD-> 0).

Минимальная доза для ограничения дробового шума для областей допуска отклонений процесса:

+ Область допуска отклонения процесса - это самая большая область, в которой допускается отклонение процесса.

По мере того как доза резиста EUV увеличивается для меньших размеров, соответственно снижается производительность. Тенденция усугубляется уменьшением заполнения зрачка (которое эффективно снижает мощность источника до уровня ниже 250 Вт) для уменьшения наполовину шага.

Две проблемы дробового шума и электронов, высвобождаемых EUV, указывают на два ограничивающих фактора: 1) поддержание дозы, достаточно высокой для снижения дробового шума до приемлемых уровней, а также 2) недопущение слишком высокой дозы из-за повышенного вклада фотоэлектронов, высвобождаемых EUV. и вторичные электроны к процессу экспонирования сопротивления, увеличивая размытие краев и тем самым ограничивая разрешение. Помимо влияния на разрешение, более высокая доза также увеличивает дегазацию [273] и ограничивает пропускную способность, а сшивание [274] происходит при очень высоких уровнях доз. Для химически усиленных резистов более высокая доза также увеличивает шероховатость кромки линии из-за разложения генератора кислоты. [275]

Как упоминалось ранее, более поглощающий резист фактически приводит к меньшей однородности дозы по вертикали. Это также означает, что дробовой шум хуже по направлению к нижней части сильно поглощающего слоя EUV-резиста.

На конечное положение линии влияет дробовой шум. Естественное изменение числа фотонов EUV может привести к смещению положения конца линии.

Даже при более высоком поглощении EUV вызывает большее беспокойство от дробового шума, чем длина волны ArF (193 нм), главным образом потому, что он применяется к меньшим размерам, а текущие целевые дозы ниже из-за доступных в настоящее время уровней мощности источника.

Как можно видеть выше, при целевых уровнях падающей дозы значительно меньше фотонов EUV поглощается в EUV резистах по сравнению с фотонами ArF в резистах ArF. Несмотря на большую прозрачность резиста, падающий поток фотонов примерно в 14 раз больше (193 / 13,5) при той же дозе энергии на единицу площади. Толщина резиста ограничена соображениями прозрачности, а также сопротивления схлопыванию [280] и полосы резиста [281] .

Коэффициент заполнения зрачка [ править ]

Нижний зрачок заполняет требуемую освещенность для меньших шагов. По мере уменьшения шага дипольная форма листа заполняет меньшую часть зрачка, и, кроме того, может использоваться меньшая часть этой формы листа.

Для шагов менее половины длины волны, деленной на числовую апертуру, необходимо дипольное освещение. Это освещение заполняет максимум листообразную область на краю зрачка. Однако из-за трехмерных эффектов в маске EUV [282] меньшие шаги требуют еще меньших частей этой формы листа. Ниже 20% зрачка начинают ухудшаться пропускная способность и стабильность дозы.

Время безотказной работы и продуктивность [ править ]

В 2016 году производительность на объекте заказчика составила 1200 пластин в день при 80% доступности [283], в то время как обычные инструменты производили 5000 пластин в день при 95% доступности. [284] По состоянию на 2017 год стоимость 7-нм процесса с 3-мя металлическими слоями, структурированными с помощью одного EUV-воздействия, все еще на 20% выше, чем текущая 10-нанометровая технология с несколькими шаблонами без EUV. [285] Таким образом, для массового производства было развернуто множественное формирование рисунка с иммерсионной литографией, а внедрение EUV ожидается в 2018–2020 годах.

История развертывания [ править ]

Развертывание EUVL для массового производства было отложено на десятилетие [286] [287], хотя прогнозы по развертыванию предусматривали сроки от 2 до 5 лет. Развертывание было запланировано на 2007 год (через 5 лет после того, как прогноз был сделан в 2002 году), [286] в 2009 году (через 5 лет после прогноза), в 2012–2013 годах (3–4 года), в 2013–2015 годах (2–4 года). ), [288] [289] в 2016–2017 годах (2–3 года), [290] и в 2018–2020 годах (через 2–4 года после прогнозов). [291] [292] Однако развертывание может быть отложено еще больше. [293]

Поставки системы NXE: 3350 начались в конце 2015 года с заявленной пропускной способностью 1250 пластин в день или 65 пластин в час (WPH) при условии безотказной работы 80%. [294] [295] Для сравнения: в 2015 году из 300 установленных иммерсионных систем NXT с длиной волны 193 нм было доступно 96% и 275 БПЧ в час. [296] [297]

В 2010–2016 годах было отгружено 20 единиц EUV, меньше того количества, которое потребовалось бы для серийного производства. Для сравнения, ASML поставила более 60 193-нм иммерсионных систем NXT в 2016 году и прогнозирует, что в 2019 году будет поставлено 48 устройств EUV. [302] [303] Шесть NXE: в 2010–2011 годах было поставлено 3100 устройств. [304] [305] Восемь устройств NXE: 3300B были отгружены в третьем квартале 2013–2015 годов, [297] меньше, чем прогнозировалось 11 единиц. [306] Два блока NXE: 3350B были отгружены в конце 2015 года, [296] по сравнению с прогнозируемыми шестью блоками. [297] В 2016 году было отгружено четыре единицы, тогда как с начала года прогнозировалось шесть или семь единиц. [307]

По состоянию на 2016 год прогнозировалось отгрузить 12 единиц в 2017 году [307] и 24 единицы в 2018 году. [302] Однако прогноз отгрузки на 2017 год был уменьшен вдвое в начале года до шести или семи единиц. [308] Производство NXE: 3350B планируется прекратить к 2017 году и заменить на NXE: 3400B. На момент поставки первых NXE: 3400B, [309] восемь систем NXE: 3300B и шесть NXE: 3350B уже работали в полевых условиях. [310]

Всего в 2017 году было отгружено десять систем NXE3400B. [311] В первом квартале 2018 года было отгружено три системы EUV. [312] Во втором квартале 2018 года отгружено еще 4 машины. [313]

Подтверждены продажи инструмента EUV (квартальные отчеты ASML)

Примечание: выручка по четырем инструментам EUV не признается по состоянию на 2 квартал 2020 года. [317]

Всего к концу 2019 года будет поставлено сорок пять (45) систем NXE: 3400B. [1] Для сравнения, только в 2010 году компании Samsung было поставлено 27 иммерсионных инструментов. [318] По состоянию на 2011 год во всем мире использовалось более 80 иммерсионных инструментов для литографии 32-45 нм. [319] По состоянию на 1 квартал 2018 года было поставлено 201 дополнительный инструмент для погружения. [2] У Intel было около 200 инструментов SVG Micrascan DUV для поддержки производства 200 мм. [320] Таким образом, объем EUV незначителен по сравнению с использованием DUV в зрелых узлах.

ASML планирует поставить около 35 систем EUV в 2020 г. и от 45 до 50 систем EUV в 2021 г. [315]

Текущие проблемы, требующие улучшения [ править ]

В 2019 году было объявлено о выпуске NXE: 3400C, включая функции, направленные на значительное повышение времени безотказной работы, такие как модульная конструкция для более быстрой замены, непрерывная подача олова и лучший контроль над деградацией коллектора. [321] Тем не менее, улучшения аберраций еще предстоит реализовать, поскольку аберрации необходимо сначала измерить непосредственно на месте. [322]

ASML планирует представить улучшенную систему EUV в конце 2021 года. [323] Она будет называться NXE: 3600 [324] и, согласно предыдущим дорожным картам, она должна повысить пропускную способность до более 185 пластин в час и иметь наложение 1,1 нм.

14 октября 2020 года ASML заявила в пресс-релизе, что была объявлена ​​окончательная спецификация для NXE: 3600D. [325] Новая система достигнет производительности 160 пластин в час, а поставки запланированы на середину 2021 года.

Использование с несколькими рисунками [ править ]

Разделение макета EUV из-за разного освещения. Этот макет состоит из вертикальных и горизонтальных линий, для каждой из которых требуется два разных освещения. Следовательно, его нужно будет разделить даже для EUV-литографии. Кроме того, промежутки между кончиками линий (обведены кружками) оптимизированы по-разному, поэтому предпочтительны дополнительные разрезы.

Ожидается, что EUV будет использовать двойное формирование рисунка с шагом около 34 нм с числовой апертурой 0,33. [326] [327] Это разрешение эквивалентно «1Y» для DRAM. [328] [329] В 2020 году ASML сообщил, что для слоя M0 толщиной 5 нм (минимальный шаг 30 нм) требуется двойной рисунок. [330] В Н2 2018, TSMC подтвердила , что ее 5 нма схема СУФА до сих пор используется мульти-паттерн , [331] Кроме того, указывающий , что количество маски не уменьшается от его 7 нма узла, в котором используется обширный DUV мульти-паттерн, его 5 нма узел, в котором использовался обширный EUV. [332] Поставщики EDA также указали на продолжающееся использование потоков множественного формирования шаблонов. [333] [334]В то время как Samsung представила свой собственный 7-нм процесс с единичным узором EUV [335], он столкнулся с сильным дробовым фотонным шумом, вызывающим чрезмерную шероховатость линии, что потребовало более высокой дозы, что привело к снижению производительности. [268] 5-нм узел TSMC использует еще более жесткие правила проектирования. [336] Samsung указал, что меньшие размеры будут иметь более сильный дробовой шум. [268]

В дополнительной схеме литографии Intel при половинном шаге 20 нм EUV будет использоваться только во второй экспозиции с обрезкой линий после первой экспозиции с линейной печатью 193 нм. [337]

Также ожидается множественная экспозиция, если два или более рисунка в одном слое, например, с разным шагом или шириной, должны использовать разные оптимизированные формы зрачка источника. [338] [339] [340] [341] Например, при рассмотрении шахматной решетки полос с вертикальным шагом 64 нм, изменение шага по горизонтали с 64 нм на 90 нм значительно изменяет оптимизированное освещение. [50] Оптимизация маски источника, основанная только на решетках с промежутками между линиями и решетках от наконечника к наконечнику, не влечет за собой улучшений для всех частей логической схемы, например, плотной траншеи с зазором с одной стороны. [342] [343]

Для металлического шага 24-36 нм было обнаружено, что использование EUV в качестве (второй) обработки резанием имеет значительно более широкое технологическое окно, чем полное однократное экспонирование для металлического слоя. [344] [342]

Также ожидается многократное экспонирование одной и той же маски для устранения дефектов без пленок, что ограничивает производительность, как и при формировании множественного рисунка. [262]

Расширение одиночного паттерна: анаморфное с высоким значением NA [ править ]

Возврат к расширенным поколениям шаблонов с однократной экспозицией будет возможен с инструментами с более высокой числовой апертурой (NA). ЧА, равное 0,45, может потребовать перенастройки нескольких процентов. [345] Увеличение уменьшения может помочь избежать этой перенастройки, но уменьшенный размер поля серьезно влияет на большие образцы (один кристалл на поле 26 мм × 33 мм), такие как многоядерные многомиллиардные транзисторные 14 нм чипы Xeon. [346] , требуя сшивания полей.

В 2015 году компания ASML раскрыла подробности своего анаморфного сканера EUV нового поколения с числовой апертурой 0,55. Увеличение увеличивается с 4х до 8х только в одном направлении (в плоскости падения). [347] Однако 0,55 NA имеет гораздо меньшую глубину резкости, чем иммерсионная литография. [348] Кроме того, было обнаружено, что анаморфный инструмент с числовой апертурой 0,52 демонстрирует слишком много CD и вариабельность размещения для однократной экспозиции узла 5 нм и резки с множественным рисунком. [349]

Уменьшение глубины резкости [350] за счет увеличения числовой апертуры также вызывает беспокойство [351], особенно по сравнению с экспозициями с множественным узором, использующими иммерсионную литографию на 193 нм:

Первые инструменты с высокой числовой оценкой ожидаются не раньше 2020 года. [352]

За пределами длины волны EUV [ править ]

Намного более короткая длина волны (~ 6,7 нм) будет за пределами EUV, и ее часто называют BEUV (за пределами крайнего ультрафиолета). [353] Более короткая длина волны приведет к худшему эффекту дробового шума без обеспечения достаточной дозы. [354]

Ссылки [ править ]

  1. ^ a b «ASML ​​начинает отгрузку NXE: 3400C, но нерешенные проблемы с поставками» . 17 октября 2019 года.
  2. ^ a b Ежеквартальные отчеты ASML с 1 кв.2018 г.
  3. ^ «Стоимость инструмента EUV достигает 120 миллионов долларов» . EETimes . 19 ноября 2010 г.
  4. ^ "Ведущие производители микросхем рассматривают EUV-литографию, чтобы спасти закон Мура" . IEEE Spectrum . 31 октября 2016 г. «Прейскурантная цена новейшего аппарата EUV компании ASML превышает 100 миллионов евро»
  5. ^ "Почему EUV так сложно" . 17 ноября 2016 г.
  6. ^ Необходимость низкого заполнения зрачка в литографии EUV
  7. ^ a b c EUV лица Сциллы и Харибды
  8. ^ Неопределенное будущее EUV
  9. ^ G. Yeap et al., 36.7, IEDM 2019.
  10. ^ О. Адан и К. Houchens, Proc. SPIE 10959, 1095904 (2019).
  11. ^ JC Liu et al., IEDM 2020.
  12. ^ SY. Ву и др., IEDM 2016.
  13. ^ Обновление Samsung 5 нм
  14. ^ https://www.nist.gov/sites/default/files/documents/pml/div683/conference/Rice_2011.pdf
  15. ^ Монкальм, C. (10 марта 1998). «Многослойные световозвращающие покрытия для ультрафиолетовой литографии». ОСТИ 310916 .  Cite journal requires |journal= (help)
  16. ^ «Следующая проблема EUV: 3D-эффекты маски» . 25 апреля 2018.
  17. ^ Кроум, Торстен; Шмидт, Йонас; Несладек, Павел (2018). «Целостность покровного слоя EUV» . В Takehisa, Kiwamu (ред.). Photomask Japan 2018: XXV симпозиум по фотошаблонам и технологиям литографических масок нового поколения . п. 8. дои : 10,1117 / 12,2324670 . ISBN 9781510622012. S2CID  139227547 .
  18. ^ https://patents.google.com/patent/US20030008180
  19. ^ «AGC будет и дальше расширять свою систему поставок заготовок для масок EUVL | Новости C AGC» . AGC .
  20. ^ "Полупроводниковая инженерия - EUV Mask Blank Battle Brewing" . 15 ноября 2018.
  21. ^ "ULTRA Semiconductor Laser Mask Writer | Heidelberg Instruments" . www.himt.de .
  22. ^ «MeRiT® neXT» . www.zeiss.com .
  23. ^ «Centura® Tetra ™ EUV Advanced Reticle Etch | Прикладные материалы» . www.appliedmaterials.com .
  24. ^ "Очистка коллектора EUV" (PDF) .
  25. ^ Х. Комори и др. , Proc. SPIE 5374, стр. 839–846 (2004).
  26. ^ BAM Hansson et al. , Proc. SPIE 4688, стр. 102–109 (2002).
  27. ^ С.Н. Шривастава и др. , J. Appl. Phys. 102, 023301 (2007).
  28. ^ https://www.laserfocusworld.com/optics/article/16566714/optics-for-scanning-multilayer-mirrors-enable-nextgeneration-euv-lithography
  29. ^ "HS Kim, Будущее запоминающих устройств и EUV-литографии, 2009 EUV симпозиум" (PDF) . Архивировано из оригинального (PDF) 10 июля 2015 года . Проверено 25 октября 2012 .
  30. ^ Х. Мизогучи, "Обновление гигафотонных источников света лазерной плазмы в EUV-диапазоне", семинар по источникам EUVL, 12 мая 2008 г.
  31. ^ "Cookies op ed.nl | ed.nl" .
  32. ^ "Гигафотон" (PDF) .
  33. ^ "Cymer SPIE 2018" (PDF) .
  34. ^ «Обновление семинара Zeiss 2018 EUVL» (PDF) .
  35. ^ "Документ SPIE 2007" (PDF) . Архивировано из оригинального (PDF) 12 августа 2017 года . Проверено 28 июля 2018 .
  36. ^ "ASML, 2016 EUVL Workshop, p.14" (PDF) .
  37. ^ Y. Wang и Y. Liu, Proc. SPIE 9283, 928314 (2014).
  38. ^ a b c «Р. Капелли и др., Proc. SPIE 9231, 923109 (2014)» (PDF) .
  39. ^ "М. ван ден Бринк и др., Proc. SPIE 2726 (1996)" (PDF) . Архивировано из оригинального (PDF) 09.08.2017 . Проверено 17 июля 2018 .
  40. ^ Шмеллер, Томас; Климпель, Т; Ким, я; F. Lorusso, G; Майерс, А; Йонкхир, Рик; Гетальс, Анн-Мари; Ронсе, К. (14 марта 2008 г.). «Стратегии компенсации сдвига паттерна EUV - арт. № 69211B» . Труды SPIE . 6921 . DOI : 10.1117 / 12.772640 . S2CID 121926142 - через ResearchGate. 
  41. ^ AN Broers, IEEE Trans. Elec. Dev. 28, 1268 (1981).
  42. ^ Tao, Y .; и другие. (2005). «Характеристика профиля плотности лазерной плазмы Sn для источника экстремального ультрафиолета с длиной волны 13,5 нм». Прил. Phys. Lett . 86 (20): 201501. Bibcode : 2005ApPhL..86t1501T . DOI : 10.1063 / 1.1931825 .
  43. ^ "Аннотация 107 Последняя страница" . www.nifs.ac.jp .
  44. ^ I. Fomenkov et al., Adv. Опт. Tech. 6, 173 (2017).
  45. ^ И. В. Фоменков, Тр. SPIE 10957, 1095719 (2019).
  46. ^ a b «Факультет физики и астрономии Университета Рутгерса» (PDF) . www.physics.rutgers.edu . Архивировано из оригинального (PDF) 20 декабря 2016 года . Проверено 14 декабря 2016 .
  47. ^ a b c «Исследование газовыделения металлов в EIDEC» (PDF) .
  48. ^ R. Rokitski et al. , Proc. SPIE 7640, 76401Q (2010).
  49. ^ a b M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  50. ^ a b Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  51. ^ a b c d e "H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4" (PDF) .
  52. ^ Paetzel, R .; и другие. (2003). Йен, Энтони (ред.). «Эксимерные лазеры для сверхвысокой NA 193-нм литографии». Proc. ШПИОН . Оптическая микролитография XVI. 5040 : 1665. Bibcode : 2003SPIE.5040.1665P . DOI : 10.1117 / 12.485344 . S2CID 18953813 . 
  53. ^ Харилал, СС; и другие. (2006). «Спектральный контроль излучения мишеней, легированных оловом, для литографии в крайнем ультрафиолете». J. Phys. D . 39 (3): 484–487. Bibcode : 2006JPhD ... 39..484H . DOI : 10.1088 / 0022-3727 / 39/3/010 . S2CID 34621555 . 
  54. ^ Т. Асаяма и др. , Proc. SPIE vol. 8683, 86831G (2013).
  55. ^ «Обновление ASML, ноябрь 2013 г., Дублин» (PDF) .
  56. ^ a b Стохастическое изменение освещенности источника EUV
  57. ^ a b Литография для конкретных приложений: активная область DRAM с шагом 28 нм
  58. ^ a b П. Де Бишоп, «Стохастические эффекты в литографии EUV: случайная, локальная изменчивость компакт-дисков и сбои при печати», J. Micro / Nanolith. MEMS MOEMS 16 (4), 041013 (2017).
  59. ^ a b c d e П. Де Бишоп и Э. Хендрикс, Proc. SPIE 10583, 105831K (2018).
  60. ^ Комбинации CD-тона, не одобряемые стохастиками EUV
  61. ^ Стохастическое влияние расфокусировки в EUV литографии
  62. ^ Стохастическое влияние расфокусировки в EUV литографии
  63. ^ a b c A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  64. Фукуда, Хироши (23 февраля 2019 г.). «Локализованная и каскадная генерация вторичных электронов как причины стохастических дефектов в проекционной литографии в крайнем ультрафиолете» . Журнал микро / нанолитографии, MEMS и MOEMS . 18 (1): 013503. Bibcode : 2019JMM & M..18a3503F . DOI : 10.1117 / 1.JMM.18.1.013503 .
  65. ^ Л. Мели и др., J. Micro / Nanolith. MEMS MOEMS 18, 011006 (2019).
  66. ^ a b N. Felix et al., Proc. SPIE 9776, 97761O (2015).
  67. ^ "С. Бхаттарай, докторская диссертация," Исследование шероховатости края линии и взаимодействия вторичных электронов в фоторезистах для EUV-литографии ", Калифорнийский университет в Беркли, 2017 г. (PDF) .
  68. ^ S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  69. ^ J. Kim et al., Proc. SPIE 11328, 113280I (2020).
  70. ^ «От дробового шума до стохастических дефектов: дозозависимое размытие по Гауссу в литографии» . www.linkedin.com .
  71. ^ a b Y. Chen et al., Proc. SPIE 10143, 101431S (2017).
  72. ^ J. Ruoff, Proc. SPIE 7823, 78231N (2010).
  73. ^ Джордж, Сими A .; Науло, Патрик; Рекава, Сенаджит; Гулликсон, Эрик; Кемп, Чарльз Д. (23 февраля 2009 г.). Schellenberg, Frank M; Ла Фонтен, Бруно М. (ред.). «Определение характеристик экспонирования вне диапазона с помощью прибора для экспонирования микрополя SEMATECH Berkeley 0.3-NA» . Журнал Micronano Lithography, MEMS и MOEMS . Альтернативные литографические технологии. 7271 : 72710Х. Bibcode : 2009SPIE.7271E..0XG . DOI : 10.1117 / 12.814429 . ОСТИ 960237 . S2CID 55241073 .  
  74. ^ a b c d "Измерение и характеристика характеристик маски EUV при высоком значении NA | EECS в Калифорнийском университете в Беркли" . www2.eecs.berkeley.edu .
  75. ^ "Carl Zeiss SMT GMbH, Semicon Europa, 16 ноября 2018 г." (PDF) .
  76. ^ a b «Многослойная отражательная способность» . henke.lbl.gov .
  77. ^ Y. Nakajima et al., Proc. SPIE 7379, 73790P (2009).
  78. ^ a b Н. Давыдова и др., Proc. SPIE 8166, 816624 (2011).
  79. ^ GJ Stagaman et al., Proc. SPIE 2726, 146 (1996).
  80. ^ MF Ravet et al., Proc. SPIE 5250, 99 (2004).
  81. ^ F. Scholze et al., Proc. SPIE 6151, 615137 (2006).
  82. ^ Якшин, AE; Кожевников И.В. Zoethout, E .; Louis, E .; Бийкерк, Ф. (2010). "[PDF] Свойства широкополосных многослойных зеркал с градуировкой по глубине для оптических систем EUV. | Семантический исследователь" . Оптика Экспресс . 18 (7): 6957–71. DOI : 10,1364 / OE.18.006957 . PMID 20389715 . S2CID 16163302 .  
  83. ^ Хроматическое размытие в EUV литографии
  84. ^ "Очень разные длины волн EUV-литографии" . www.linkedin.com .
  85. ^ М. Сугавара и др., J. Micro / Nanolith. MEMS MOEMS 2, 27-33 (2003).
  86. ^ https://www.linkedin.com/pulse/un sure- phase- shifts- euv- masks- frederick- chen
  87. ^ M. Burkhardt et al., Proc. SPIE 10957, 1095710 (2019).
  88. ^ А. Эрдман, П. Evanschitzky, Т. фюрер, Proc. SPIE 7271, 72711E (2009).
  89. L. Peters, «Двойной узор ведет гонку за 32 нм», Semiconductor International , 18 октября 2007 г.
  90. ^ М. Сугавара и др. , J. Vac. Sci. Tech. В 21, 2701 (2003).
  91. ^ a b c "Труды" (PDF) . DOI : 10.1117 / 12.484986 . S2CID 137035695 .   Cite journal requires |journal= (help)
  92. ^ Г. Макинтайр и др. , Proc. SPIE vol. 7271, 72711C (2009).
  93. ^ Т. Ласт и др. , Proc. SPIE 9985, 99850W (2016).
  94. ^ а б Т. Ласт и др. , Proc. SPIE vol. 10143, 1014311 (2017).
  95. ^ W. Gao et al. , Proc. SPIE vol. 10143, 101430I (2017).
  96. ^ "Требования к плоскостности маски EUV" (PDF) . Архивировано из оригинального (PDF) 26 июня 2015 года . Проверено 26 июня 2015 .
  97. ^ T. Schmoeller et al. , Proc. SPIE vol. 6921, 69211B (2008).
  98. ^ П. Лю и др. , Proc. SPIE vol. 8679, 86790W (2013).
  99. ^ а б М. Сугавара и др. , Proc. SPIE 9048, 90480V (2014).
  100. ^ а б X. Chen et al. , Proc. SPIE 10143, 101431F (2017).
  101. ^ «ASML: Продукты - TWINSCAN NXE: 3400B» . asml.com .
  102. ^ а б X. Лю и др. , Proc. SPIE vol. 9048, 90480Q (2014).
  103. ^ O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  104. ^ С. Йошитаке и др., Требования к плоскостности маски EUV: перспектива поставщика устройства записи электронных масок.
  105. ^ HN Chapman и KA Nugent, Proc. SPIE 3767, 225 (1999).
  106. ^ Х. Комацуда, Proc. SPIE 3997, 765 (2000).
  107. ^ Q. Mei et al., Proc. SPIE 8679, 867923 (2013).
  108. ^ D. Hellweg et al., Proc. SPIE 7969, 79690H (2011).
  109. ^ K. Hooker et al., Proc. SPIE 10446, 1044604 (2017).
  110. ^ А. Гаретто и др., J. Micro / Nanolith. MEMS MOEMS 13, 043006 (2014).
  111. ^ а б Т-С. Eom et al., Proc. SPIE 8679, 86791J (2013).
  112. ^ R. Capelli et al., Proc. SPIE 10957, 109570X (2019).
  113. ^ J. Fu et al., Proc. SPIE 11323, 113232H (2020).
  114. ^ Подготовка к следующему поколению литографии EUV в Центре рентгеновской оптики
  115. ^ S. Koo et al., Proc. SPIE 7969, 79691N (2011).
  116. ^ Заявка на патент США 20070030948.
  117. ^ MF Bal et al., Appl. Опт. 42, 2301 (2003).
  118. ^ DM Уильямсон, Proc. SPIE 3482, 369 (1998).
  119. ^ a b "Carl Zeiss 2018" (PDF) .
  120. ^ a b M. Lim et al., Proc. SPIE 10583, 105830X (2018).
  121. ^ AV Pret et al., Proc. SPIE 10809, 108090A (2018).
  122. ^ L. van Look et al., Proc. SPIE 10809, 108090M (2018)
  123. ^ RH. Kim et al., Proc. SPIE 9776, 97761R (2016).
  124. ^ a b c d e E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  125. ^ TE Brist и GE Bailey, Proc. SPIE 5042, 153 (2003).
  126. ^ G. Zhang et al., Proc. SPIE 5040, 45 (2003).
  127. ^ J. Fu et al., Proc. SPIE 11323, 113232H (2020).
  128. ^ К. Ли и др., J. Microlith / Nanolith. MEMS MOEMS 18, 040501 (2019).
  129. ^ KA Goldberg et al., Proc. SPIE 5900, 59000G (2005).
  130. ^ Ю. Лю, Ю. Ли, Опт. Англ. 55, 095108 (2016).
  131. ^ a b R., Saathof (1 декабря 2018 г.). «Адаптивная оптика для противодействия тепловым аберрациям: проектирование системы для EUV-литографии с субнометровой точностью» . Cite journal requires |journal= (help)
  132. ^ TS Jota и RA Chipman, Proc. SPIE 9776, 977617 (2016).
  133. ^ a b c «Mentor Graphics Director подробно описывает проблемы, связанные с контролем размещения периферии в 2020 году» . nikonereview.com .
  134. ^ M. Habets et al., Proc. SPIE 9776, 97762D (2016).
  135. ^ М. Байрактар ​​и др., Опт. Exp. 22, 30623 (2014).
  136. ^ J. Heo et al., Opt. Exp. 25, 4621 (2017). [ постоянная мертвая ссылка ]
  137. ^ CS Choi et al. , Proc. SPIE 9235, 92351R (2014).
  138. ^ Фундаментальные принципы оптической литографии Крис А. Мак, стр. 37.
  139. ^ CA Mack, микролиты. Мир, 9-4, 25 (2000)
  140. ^ JS Petersen et al., Proc. SPIE 3546, 288 (1998).
  141. ^ «Архивная копия» (PDF) . Архивировано из оригинального (PDF) 05.02.2017 . Проверено 5 февраля 2017 . CS1 maint: archived copy as title (link)
  142. Тонкая полутоновая маска с фазовым сдвигом для экстремальной ультрафиолетовой литографии Инхван Ли, Сангсул Ли, Джэ Ук Ли, Чан Ён Чжон2, Сонён Ку, Чанмун Лим и Джинхо Ан
  143. ^ L. Yuan et al., Proc. SPIE 8322, 832229 (2012).
  144. ^ "Исследование шероховатости края линии и взаимодействия вторичных электронов в фоторезистах для EUV литографии | EECS в Калифорнийском университете в Беркли" .
  145. ^ Андерсон, Кристофер; Даггетт, Джо; Naulleau, Патрик (31 декабря 2009 г.). «Скругление углов в фоторезисте EUV: настройка в зависимости от молекулярной массы, размера PAG и времени проявления». ОСТИ 982925 .  Cite journal requires |journal= (help)
  146. ^ a b c d E. van Setten et al., Intl. Symp. по EUV-литографии, 2014.
  147. ^ VM Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  148. ^ a b c E. van Setten et al., Proc. SPIE 9231, 923108 (2014).
  149. ^ К. ван Инген Шенау, Симпозиум EUVL 2013.
  150. ^ «SemiWiki.com - SEMICON West - Передовые проблемы межсетевого взаимодействия» . www.semiwiki.com .
  151. ^ «SemiWiki.com - Эксклюзив - GLOBALFOUNDRIES раскрывает детали процесса 7 нм» . www.semiwiki.com .
  152. ^ LT Clark et al., Microelec. Journ. 53, 105 (2016).
  153. ^ «ASML ​​2014 День инвестора, слайд 46» (PDF) . Архивировано из оригинального (PDF) 30 августа 2017 года . Проверено 29 июля 2017 .
  154. ^ F. Jiang et al. , Proc. SPIE vol. 9422, 94220U (2015).
  155. ^ a b c d I. Mochi et al. , Proc. SPIE 9776, 97761S (2015).
  156. ^ JG Garofalo et al., Proc. SPIE 2440, 302 (1995).
  157. ^ "Понимание шума выстрела EUV" .
  158. ^ D. Civay et al., Proc. SPIE 9048, 90483D (2014).
  159. ^ Т. Ласт и др. , J. Micro / Nanolith. MEMS MOEMS 15, 043508 (2016).
  160. ^ "Стохастическая печать вспомогательных функций суб-разрешения" . www.linkedin.com .
  161. ^ AY. Je et al. , Proc. SPIE 7823, 78230Z (2010).
  162. ^ T. Huynh-Bao et al. , Proc. SPIE 9781, 978102 (2016).
  163. ^ В. Филипсен и др., Proc. SPIE 9235, 92350J (2014).
  164. ^ a b W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  165. ^ a b Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).
  166. ^ Патент США 9715170.
  167. ^ С. Нагахара и др., Proc. SPIE 7640, 76401H (2010).
  168. ^ L. Pang et al., Proc. SPIE 7520, 75200X (2009 г.).
  169. ^ Сюй, Стивен Д .; Лю, Цзинцзин (1 января 2017 г.). «Проблемы анаморфной литографии с высокой числовой апертурой и изготовления масок». Передовые оптические технологии . 6 (3–4): 293. Bibcode : 2017AdOT .... 6..293H . DOI : 10,1515 / АОТ-2017-0024 . S2CID 67056068 . 
  170. ^ "Semicon / Япония 99" (PDF) . Архивировано из оригинального (PDF) на 2017-08-05 . Проверено 29 июня 2017 .
  171. ^ Формирование изображения. Количество дифрагированных порядков. (Пространственные компоненты Фурье) 2002 20 ноября
  172. ^ "IMEC EUVL 2018 Workshop" (PDF) .
  173. ^ C. Krautschik et al., Proc. SPIE 4343, 392 (2001).
  174. ^ А. Эрдман, П. Evanschitzky и Т. Fuhner, Proc. SPIE 7271, 72711E (2009).
  175. ^ A. Erdmann и др., J. Micro / Nanolith. MEMS MOEMS 15, 021205 (2016).
  176. M. Burkhardt и A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  177. ^ Z. Zhu et al., Proc. SPIE 5037, 494 (2003)
  178. ^ В. Филипсен и др., Proc. SPIE 10143, 1014310 (2017).
  179. ^ «Превышен предел загрузки». CiteSeerX 10.1.1.215.7131 .  Cite journal requires |journal= (help)
  180. ^ A. Erdmann и др., J. Micro / Nanolith. MEMS MOEMS 15 (2), 021205 (2016).
  181. ^ a b c d e L. Liebmann et al. Proc. SPIE 10148, 101480F (2017).
  182. ^ V. Phiipsen et al., Proc. SPIE 10143, 104310 (2017).
  183. ^ CH. Chang et al., Proc. SPIE 5377, 902 (2004).
  184. ^ T. Devoivre и др., MTDT 2002.
  185. ^ LC Choo et al., Proc. SPIE vol. 4000, 1193 (2000).
  186. ^ J. Word и К. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  187. ^ Т. Винклер и др., Prod. SPIE 5754, 1169 (2004).
  188. ^ Ю. Бородовский и др., Proc. SPIE 4754, 1 (2002).
  189. ^ СС. Yu et al., Proc. SPIE 8679, 86791L (2013).
  190. ^ a b A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  191. ^ "Анализ собственных мод электромагнитных полей в масках EUV" (PDF) .
  192. ^ "Сверхвысокая эффективность EUV-травленная маска с фазовым сдвигом" (PDF) .
  193. ^ ". Торок и др.," Вторичные электроны в EUV-литографии ", J. Photopol. Sci. And Tech., 26, 625 (2013)" .
  194. ^ К. Исии и Т. Мацуда, Jpn. J. Appl. Phys. 29, 2212 (1990).
  195. ^ A. Thete et al., Proc. SPIE 9422, 94220A (2015).
  196. ^ "B. Тезис о Солнце, стр. 34" (PDF) .
  197. ^ "С. Бхаттарай, Исследование шероховатости края линии и взаимодействия вторичных электронов в фоторезистах для EUV-литографии, 2017, стр. 100" (PDF) . Архивировано 21.10.2017 из оригинального (PDF) . Проверено 16 сентября 2018 .
  198. ^ "О. Костко, Дж. Ма и П. Нолло (LBNL)," Измерение электронного размытия "," 2019 EUVL Workshop " (PDF) .
  199. ^ BL Henke et al. , J. Appl. Phys. 48. С. 1852–1866 (1977).
  200. ^ "Международный семинар CNSE 2013 по литографии EUV" (PDF) .
  201. ^ J. Torok et al. , J. Photopolymer Sci. И Техн., 27, 611 (2014).
  202. ^ Низкоэнергетический электрон устанавливает пределы для EUV-литографии
  203. ^ а б Y. Kandel et al. , Proc. SPIE 10143, 101430B (2017).
  204. ^ DD Simone et al., Proc. SPIE 10143, 101430R (2017).
  205. ^ a b P. De Schepper et al., Proc. SPIE 9425, 942507 (2015).
  206. ^ А. Нарасимхан и др., "Механизмы воздействия EUV: внутреннее возбуждение и электронное размытие", симпозиум EUV 6/16/2016, стр.11.
  207. ^ А. Рагунатан и Ю.Г. Хартли, JVST B 31, 011605 (2013).
  208. ^ "Стохастическая долина смерти EUV" . www.linkedin.com .
  209. ^ a b c A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  210. ^ L. Wisehart et al., Proc. SPIE 9776, 97762O (2016).
  211. ^ "Электрическое поле, плоские листы заряда" . hyperphysics.phy-astr.gsu.edu .
  212. ^ М. Дапор, М. Чаппа и В. Фихтнер, J. Micro / Nanolith. MEMS MOEMS 9, 023001 (2010).
  213. ^ a b З. Г. Сонг и др., J. Phys. D: Прил. Phys. 30, 1561 (1997).
  214. ^ JM Roberts et al. , Proc. SPIE 7273, 72731W (2009).
  215. ^ G. Denbeaux et al. , 2007 Европейская конференция по маскам и литографии.
  216. ^ I. Pollentier et al. , Proc. SPIE vol. 7972, 797208 (2011).
  217. ^ Г. Denbeaux, 2009 Intl. Практикум по EUV-литографии.
  218. ^ JY Park et al. , J. Vac. Sci. Tech. B29, 041602 (2011).
  219. ^ Дж. Холленсхед и Л. Клебанофф, J. Vac. Sci. & Тех. В 24, стр. 118–130 (2006).
  220. ^ a b c d e "Очистка олова на основе атома водорода" (PDF) .
  221. ^ Компьютерное моделирование загрязнения и очистки оптики источника EUV RnD-ISAN / EUV Labs & ISTEQ BV
  222. ^ a b T. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018).
  223. ^ например, денитридизация нитридов под водородом
  224. ^ "К. Г. Ван де Валле и Б. Таттл, ТЕОРИЯ ВЗАИМОДЕЙСТВИЯ ВОДОРОДА С АМОРФНЫМ КРЕМНИЕМ в аморфных и гетерогенных кремниевых тонких пленках - основы устройств , под редакцией Х. М. Бранца, Р. У. Коллинза, Х. Окамото, С. Гуха и Б. Шроппа. , MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Пенсильвания, 1999), стр. 255 " (PDF) .
  225. ^ T. Tanabe, Y. Yamanishi и S. Imoto, J. Nucl. Мат. 191–194, 439 (1992).
  226. ^ a b "DT Elg et al. , J. Vac. Sci. Tech. A 34, 021305 (2016)" (PDF) .
  227. ^ "Водород-индуцированное образование пузырей в многослойных тонких пленках" (PDF) .
  228. ^ IY. Jang et al., Proc. SPIE 9256, 92560I (2014)
  229. ^ "Проникновение водорода в Ru и Pd / Ru" (PDF) .
  230. ^ Пантисано, L; Шрам, Том; Ли, Z; Лисони, Юдит; Пуртуа, Джеффри; Де Гендт, Стефан; П. Брунко, Д; Ахеяр, А; Афанасьев В.В.; Шамуилия, Шерон; Стесманс, А. (12 июня 2006 г.). «Рутениевые затворные электроды на SiO2 и HfO2: чувствительность к водороду и кислороду» . Письма по прикладной физике . 88 (24): 243514. Bibcode : 2006ApPhL..88x3514P . doi : 10.1063 / 1.2212288 - через ResearchGate.
  231. ^ "Водородное проникновение карбида бора" .
  232. ^ М. Майер, М. BALDEN, и Р. Behrisch, ДжNucl. Мат. 252, 55 (1998).
  233. ^ а б S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
  234. ^ "Экранирование защитных слоев стойкости к окислению" (PDF) .
  235. ^ B. Thedjoisworo et al. , J. Vac. Sci. Tech. А 30, 031303 (2012).
  236. ^ "Водородная плазма для снятия фоторезиста" (PDF) .
  237. ^ "Устойчивость к выделению газов из оксида металла" (PDF) .
  238. ^ Thedjoisworo, Баю; Cheung, Дэвид; Крист, Винс (2013). «Сравнение влияния плазмы на основе H2 и O2 ниже по потоку на удаление фоторезиста, кремния и нитрида кремния». Журнал вакуумной науки и технологий B, Нанотехнологии и микроэлектроника: материалы, обработка, измерения и явления . 31 (2): 021206. Bibcode : 2013JVSTB..31b1206T . DOI : 10.1116 / 1.4792254 . ISSN 2166-2746 . [необходим неосновной источник ]
  239. ^ «Освоение требований дорожной карты для литографии в экстремальном ультрафиолете» . spie.org .
  240. ^ "Методы быстрого моделирования неплоских фазовых и многослойных дефектов в фотошаблонах DUV и EUV для литографии" . berkeley.edu .
  241. ^ Х. Ю и др. , J. Vac. Sci. Tech. А31, 021403 (2013).
  242. ^ S. Huh et al. , Proc. SPIE 7271 (2009).
  243. ^ К. Секи и др. , Proc. SPIE 9658, 96580G (2015).
  244. ^ A. Garetto et al. , J. Micro / Nanolith. MEMS MOEMS 13, 043006 (2014).
  245. ^ M. Muller et al., Appl. Phys. Том. 108, 263 (2012).
  246. ^ «2016 EUV Mask Pellicle TWG update» (PDF) .
  247. ^ «Деятельность EUVL в Южной Корее (включая Samsung и SKHynix)» (PDF) .
  248. ^ ЕСТЬ. Kim et al. , Proc. SPIE vol. 8322, 83222X (2012).
  249. ^ C. Zoldeski et al. , Proc. SPIE vol. 9048, 90481N (2014).
  250. ^ DL Гольдфарб, декабрь 2015 Бакус бюллетень.
  251. ^ «EUV Pellicle, время безотказной работы и проблемы сопротивления продолжаются» .
  252. ^ A. Gao et al. , J. Appl. Phys. 114, 044313 (2013).
  253. ^ E. Gallagher et al. , Proc. SPIE vol. 9635, 96350X (2015).
  254. ^ C. Ghica et al. , ПЗУ. Rep. In Phys., Т. 62, 329-340 (2010).
  255. ^ Л. Хуан и др. , Подбородок. Phys. Б, т. 22, 105101 (2013).
  256. ^ I. Pollentier et al. , Proc. SPIE vol. 10143, 101430Л (2017).
  257. ^ Х. Оидзуми и др. , Proc. SPIE vol. 5751, 1147 (2005).
  258. ^ K. Motai et al. , Proc. SPIE vol. 6517, 65170F (2007).
  259. Y. Nagaoka and J. Miyazaki, Proc. SPIE vol. 9635, 963510 (2015).
  260. ^ ЕСТЬ. Kim et al., Microel. Англ. 177, 35 (2017).
  261. ^ "Конференция UBS Korea, 24 июня 2019 г." (PDF) .
  262. ^ a b Х. Дж. Левинсон, Т. А. Бруннер, Proc. SPIE 10809, 1080903 (2018).
  263. ^ "5 нм Fab Challenges" . 2016-01-20. ASML разрабатывает анаморфную линзу для EUV. Двухкоординатный объектив EUV поддерживает 8-кратное увеличение в режиме сканирования и 4-кратное в другом направлении. Он будет поддерживать от 0,5 до 0,6 NA. … Сканер EUV может значительно снизить пропускную способность. Это позволило бы выставить пластину только на половину размера поля, в отличие от полных размеров поля в современных сканерах EUV.
  264. ^ Гильберт Хагедорн. «Обзор GeForce GTX 780» . Guru3D.com .
  265. ^ Intel Xeon E5-2600 v3
  266. ^ JT Neumann et al. , Proc. SPIE vol. 8522, 852211 (2012).
  267. ^ К. Такехиса, Proc. SPIE vol. 8701, 87010Т (2013).
  268. ^ a b c HW Kim et al., Proc. SPIE 7636, 76360Q (2010).
  269. ^ СМ. Kim et al., Proc. SPIE 9422, 94220М (2015).
  270. ^ Б. Байлав, "Снижение шероховатости края линии (LER) в интерференционной литографии большого поля" , докторская диссертация, с. 37, 2014.
  271. ^ З.Ы. Pan et al., Proc. SPIE 6924, 69241K (2008).
  272. ^ RL Bristol и ME Krysak, Proc. SPIE 10143, 101430Z (2017).
  273. ^ "2013 Nissan Chemical Industries, 2013 Международный семинар по EUV-литографии" (PDF) .
  274. ^ TG Oyama et al. , Прил. Phys. Exp. 7, 036501 (2014).
  275. ^ T. Kozawa, Jpn. J. Appl. Phys. 51, 06FC01 (2012).
  276. ^ "Фоторезист Азбуки" (PDF) .
  277. ^ "ASML: Продукты - TWINSCAN NXT: 1980Di" . www.asml.com .
  278. ^ a b "Фоторезисты EUV" (PDF) .
  279. ^ a b «Семинар ASML 2016 EUVL» (PDF) .
  280. ^ "Новые решения для резиста" (PDF) . Архивировано из оригинального (PDF) 27 августа 2017 года . Проверено 14 июля 2017 .
  281. ^ "Металлооксидная резистивная лента" (PDF) . [ постоянная мертвая ссылка ]
  282. ^ JH. Franke et al., Proc. SPIE 11517, 1151716 (2020).
  283. ^ 2016 семинар по источникам EUV, обновление ASML.
  284. ^ Tartwijk, Stu Woo и Маартен ван. "Может ли эта малоизвестная компания по производству микросхем соблюдать закон Мура?" .
  285. ^ VM Blanco Carballo et al. , Proc. SPIE 10143, 1014318 (2017).
  286. ^ а б http://www.lithoguru.com/scientist/essays/100WbytheEndoftheYear.ppsx
  287. ^ "Архивная копия" . Архивировано из оригинала на 2016-07-24 . Проверено 1 августа 2016 .CS1 maint: archived copy as title (link)
  288. ^ tweet_btn (), Рик Мыслевски 25 февраля 2014 г., 22:16. «Первый« готовый к производству »сканер EUV поджаривает себе кишки в TSMC. Intel ищет альтернативные технологии» . www.theregister.co.uk .
  289. ^ "Архивная копия" . Архивировано из оригинала на 2016-08-18 . Проверено 1 августа 2016 .CS1 maint: archived copy as title (link)
  290. ^ "TSMC заказывает производство EUV-систем" . optics.org .
  291. ^ "ASML усилен крайними порядками UV" . optics.org .
  292. ^ "EUV | Сообщество по производству и проектированию полупроводников" . semimd.com .
  293. ^ [1]
  294. ^ «ASML ​​имеет рекордную выручку за 2015 год; увеличит дивиденды, выкупит больше акций | Сообщество производителей и разработчиков полупроводников» .
  295. ^ Тирнан Рэй. «ASML ​​получает поддержку от TSM, хотя вопросы по EUV остаются» . Бэрронс .
  296. ^ a b "asml20160120presentation" . www.sec.gov .
  297. ^ a b c "asml20150715presentation" . www.sec.gov .
  298. ^ a b c d e Фриц ван Хаут (24 ноября 2014 г.). «EUV» . ASML . Проверено 14 декабря 2016 года .
  299. ^ а б в ASML. «ASML ​​сообщает об итогах 2013 года» . Комиссия по ценным бумагам и биржам США . Проверено 16 июля 2014 . Мы по-прежнему нацелены на поставку систем EUV с пропускной способностью 70 пластин в час в 2014 году и с возможностью модернизации до 125 пластин в час в 2015 году.
  300. ^ "asml20151014presentation" . www.sec.gov .
  301. ^ Б с д е е г Ханс Мэйлин (31 октября 2016). «Роль EUV и его возможности для бизнеса» (PDF) . ASML. Архивировано из оригинального (PDF) 20 декабря 2016 года . Проверено 15 декабря 2016 года .
  302. ^ a b «ASML ​​укрепляет ожидания EUV-литографии» . 19 октября 2016 г.
  303. ^ "Asml20170118presentation" .
  304. ^ R. Peeters et al., Proc. SPIE 8679, 86791F (2013).
  305. ^ «Целостная литография для EUV: NXE: 3100 характеристика первых напечатанных пластин с использованием усовершенствованной модели сканера и рефлектометрии» (PDF) . Архивировано из оригинального (PDF) 16 июля 2015 года . Проверено 16 июля 2015 .
  306. ^ «ASML ​​задерживает признание дохода от инструмента EUV» . 2012-04-18.
  307. ^ a b «ASML ​​уверена в достижении целей EUV на 2016 год; голландский гигант литографии рассчитывает поставить не менее шести систем, поскольку заказчики нацелены на производство в 2018 году» . 2016-01-20.
  308. ^ «Генеральный директор ASML Holding (ASML) Питер Веннинк о результатах за 4 квартал 2016 года - стенограмма отчета о прибылях и убытках» . 2017-01-18.
  309. ^ Мерритт, Рик. «ASML ​​Revs EUV Engines» . EETimes .
  310. ^ Мерритт, Рик. «Прогресс EUV, процитированные препятствия» . EETimes .
  311. ^ «Продвинутая литография SPIE 2018 - Новости конференции EUVL - В фокусе EUVL» . electroiq.com . Архивировано из оригинала на 2019-01-26 . Проверено 16 апреля 2018 .
  312. ^ "ASML: Пресса - Пресс-релизы Сильный спрос на DUV обеспечивает хорошие результаты за первый квартал и подтверждает позитивный прогноз на 2018 год - Несколько заказов EUV, включая High-NA, демонстрируют дальнейшее внедрение технологии EUV - Пресс-релизы 2018 - Несколько заказов EUV, включая High-NA, демонстрируют дальнейшее внедрение технологии EUV » . www.asml.com .
  313. ^ "ASML: Пресса - Пресс-релизы Рост доходов продолжается, благодаря сильным продажам по всему портфелю продуктов - Продолжение прогресса EUV позволяет ускорить дорожную карту ASML - Пресс-релизы Рост доходов продолжается, обусловленный высокими продажами по всему портфелю продуктов - Продолжение прогресса EUV позволяет ускорить разработку дорожной карты ASML" . www.asml.com .
  314. ^ a b Отчет о прибылях и убытках ASML за 3 квартал 2019 г.
  315. ^ a b «Финансовые результаты за 4 квартал 2019 года и за весь год» . www.asml.com . Проверено 22 января 2020 .
  316. ^ Стенограмма телеконференции ASML за 4 квартал 2019 г.
  317. ^ ASMl 2 квартал 2020 г.
  318. ^ "ASML, Nikon битва за Intel lithobiz" Проверить значение ( справка ) . . .|url=
  319. ^ "ASML улучшает платформу иммерсионной литографии NXT: 1950i" . www.fabtech.org .
  320. ^ Изображения, Intel Special Edition, ASML, 2006.
  321. ^ "De 3400C - это euv-machine die ASML altijd heeft Willen Bouwen - Bits & Chips" . bit-chips.nl .
  322. ^ M. van de Kerkhof et al., Proc. SPIE 10583, 105830S (2018).
  323. Де Янг, Крейг (20 марта 2019 г.). «Конференция BAML 2019 APAC TMT» (PDF) . ASML .
  324. ^ "ASML нанимает архитектора экстремальной ультрафиолетовой проекции в Велдховене, Нидерланды | LinkedIn" . nl.linkedin.com . Проверено 24 декабря 2019 .
  325. ^ «Финансовые результаты за 3 квартал 2020 года» . www.asml.com . Проверено 21 января 2021 .
  326. ^ W. Gap et al., Proc. SPIE 10583, 105830O (2018).
  327. ^ D. De Simone et al., Advanced Lithography 2019, 10957-21.
  328. ^ "Интеграция 18-нм ячеек DRAM Samsung: QPT и более высокие диэлектрики в унифицированных конденсаторах high-k" . techinsights.com .
  329. Роос, Джина (24 мая 2018 г.). «Цены на DRAM продолжают расти, в то время как ASP Flash на NAND падают» .
  330. ^ R. Socha, Proc. SPIE 11328, 113280V (2020).
  331. ^ «SemiWiki.com - 10 лучших моментов с форума экосистемы открытой инновационной платформы TSMC» . www.semiwiki.com .
  332. ^ "DAC 2018 TSMC / Arm / Synopsys Breakfast" . www.synopsys.com .
  333. ^ «Cadence достигает сертификации EDA для технологических процессов TSMC 5 и 7 нм + FinFET для облегчения разработки мобильных решений и высокопроизводительных вычислений» . Октябрь 2018.
  334. ^ «Платформы Synopsys Digital и Custom Design, сертифицированные по технологическим процессам TSMC на основе 5-нм EUV» . Дизайн и повторное использование .
  335. ^ "DAC 2018 Samsung / Synopsys Breakfast" . www.synopsys.com .
  336. ^ Мерритт, Рик. «TSMC переводит фотон в облако» . EETimes .
  337. ^ «Презентация Intel по дополнительной литографии на Международном семинаре 2012 года по EUV-литографии» (PDF) .
  338. ^ "EUV никогда не собирался быть одиночным паттерном" .
  339. ^ S. Hsu et al., Proc. SPIE 4691, 476 (2002).
  340. ^ X. Liu et al., Proc. SPIE 9048, 90480Q (2014).
  341. ^ SY. Oh et al., Proc. SPIE 4691, 1537 (2002).
  342. ^ a b «EUV никогда не будет одиночным паттерном» . www.semiwiki.com .
  343. ^ D. Rio et al., Proc. SPIE 10809, 108090N (2018).
  344. ^ RK Ali et al., Proc. SPIE 10583, 1058321 (2018).
  345. ^ JT Neumann et al. , Proc. SPIE 8522, 852211 (2012).
  346. ^ Чипы Intel Xeon E5-2600 V4 содержат безумные 7,2 миллиарда транзисторов на кристалле 456 мм2 ,
  347. ^ J. van Schoot et al. , Proc. SPIE 9422, 94221F (2015).
  348. ^ BJ Lin, JM3 1, 7-12 (2002).
  349. ^ ER Hosler et al. , Proc. SPIE vol. 9776, 977616 (2015).
  350. ^ a b Б. Дж. Лин, Дж. Микролит., Микрофаб., Микросист. 1, 7-12 (2002).
  351. ^ BJ Lin, Microelec. Англ. 143, 91-101 (2015).
  352. ^ «Расширение EUV за пределы 3 нм» . 2017-05-18.
  353. ^ "Презентация ASML на Международном семинаре по источникам экстремального ультрафиолета в 2010 г." (PDF) .
  354. ^ Mojarad Насир; Гобрехт, Йенс; Экинджи, Ясин (18 марта 2015 г.). «За пределами EUV литографии: сравнительное исследование эффективности фоторезистов» . Научные отчеты . 5 (1): 9235. Bibcode : 2015NatSR ... 5E9235M . DOI : 10.1038 / srep09235 . PMC 4363827 . PMID 25783209 .  

Дальнейшее чтение [ править ]

  • Банкиу Ву и Аджай Кумар (май 2009 г.). Литография в экстремальном ультрафиолете . ISBN McGraw-Hill Professional , Inc. 978-0-07-154918-9.
  • Банкиу Ву и Аджай Кумар (2009). «Экстремальная ультрафиолетовая литография: к следующему поколению интегральных схем» . Фокус оптики и фотоники . 7 (4).

Ссылки по теме [ править ]

  • EUV представляет собой экономические проблемы
  • Промышленность предполагает EUV с длиной волны 6,7 нм