Из Википедии, бесплатной энциклопедии
Перейти к навигации Перейти к поиску

В электронном дизайне , A полупроводниковой интеллектуальной собственности ядро , IP - ядра , или IP - блок представляет собой многократно используемый блок логики, ячейки или интегральной схемы макета дизайна , который является интеллектуальной собственностью одной из сторон. Ядра IP могут быть переданы по лицензии другой стороне или принадлежать и использоваться одной стороной. Термин происходит от лицензирования патента или авторских прав на исходный код, которые существуют в дизайне. Разработчики специализированных интегральных схем (ASIC) и систем логики программируемых вентильных матриц (FPGA) могут использовать IP-ядра в качестве строительных блоков.

История [ править ]

Лицензирование и использование IP-ядер при проектировании микросхем стало обычной практикой в ​​1990-х годах. На рынке было много лицензиаров, а также много литейных производств . В 2013 году наиболее широко лицензируемые IP-ядра поступили от Arm Holdings (43,2% рынка), Synopsys Inc. (13,9% рынка), Imagination Technologies (9% рынка) и Cadence Design Systems (5,1% рынка). [1]

Типы IP ядер [ править ]

Использование IP-ядра в конструкции микросхемы сравнимо с использованием библиотеки для компьютерного программирования или дискретного компонента интегральной схемы для проектирования печатной платы . Каждый из них является многократно используемым компонентом логики дизайна с определенным интерфейсом и поведением, которое было проверено его создателем и интегрировано в более крупный дизайн.

Мягкие ядра [ править ]

IP-ядра обычно предлагаются в виде синтезируемого RTL на языке описания оборудования, таком как Verilog или VHDL . Они аналогичны языкам низкого уровня, таким как C, в области компьютерного программирования. IP-ядра, поставляемые разработчикам микросхем в качестве RTL, позволяют разработчикам микросхем изменять конструкции на функциональном уровне, хотя многие поставщики IP не предлагают никаких гарантий или поддержки для измененных конструкций. [ необходима цитата ]

IP-ядра также иногда предлагаются как общие списки соединений на уровне шлюза . Список соединений - это представление логической алгебры логической функции IP, реализованной в виде общих вентилей или стандартных ячеек, специфичных для процесса . Ядро IP, реализованное в виде общих ворот, может быть скомпилировано для любого технологического процесса. Список соединений на уровне ворот аналогичен листингу ассемблерного кода в области компьютерного программирования. Список соединений дает производителю IP-ядра разумную защиту от реверс-инжиниринга. См. Также: защита конструкции макета интегральной схемы .

И список соединений, и синтезируемые ядра называются программными ядрами, поскольку оба позволяют выполнять процесс проектирования синтеза , размещения и маршрутизации ( SPR ).

Жесткие ядра [ править ]

Жесткие ядра (или аппаратные макросы) - это аналоговые или цифровые IP-ядра, функции которых не могут быть существенно изменены разработчиками микросхем. Обычно они определяются как физическое описание нижнего уровня, специфичное для конкретной технологии процесса. Жесткие ядра обычно обеспечивают лучшую предсказуемость временных характеристик микросхемы и области для их конкретной технологии. [ необходима цитата ]

Аналоговая и смешанная логика обычно являются жесткими ядрами. Следовательно, аналоговые IP ( SerDes , PLL , DAC , ADC , PHY и т. Д.) Предоставляются производителям микросхем в формате компоновки транзисторов (например, GDSII ). Ядра цифрового IP иногда также предлагаются в формате макета.

Компоновки низкоуровневых транзисторов должны соответствовать правилам проектирования технологического процесса целевого завода . Следовательно, жесткие ядра, поставляемые для одного процесса литейного производства, не могут быть легко перенесены на другой процесс или литейное производство. Торговые операторы литейного производства (такие как IBM , Fujitsu , Samsung , TI и т. Д.) Предлагают различные жесткие макро IP-функции, созданные для их собственных производственных процессов, помогая обеспечить привязку к клиентам .

Источники IP ядер [ править ]

Лицензионные функции [ править ]

Многие из наиболее известных IP-ядер представляют собой программные микропроцессоры . Их наборы команд варьируются от небольших 8-битных процессоров, таких как 8051 и PIC , до 32-битных и 64-битных процессоров, таких как архитектуры ARM или RISC-V . Такие процессоры составляют «мозг» многих встраиваемых систем . Обычно это наборы инструкций RISC, а не наборы инструкций CISC, такие как x86, потому что требуется меньше логики. Поэтому конструкции меньше. Кроме того, лидеры x86 Intel и AMD серьезно защищают интеллектуальную собственность своих процессоров и не используют эту бизнес-модель.для своей линейки микропроцессоров x86-64 .

IP-ядра также лицензированы для различных периферийных контроллеров, таких как PCI Express , SDRAM , Ethernet , ЖК-дисплей , аудио AC'97 и USB . Для многих из этих интерфейсов требуются как цифровые логические, так и аналоговые IP-ядра для управления и приема сигналов с высокой скоростью, высоким напряжением или высоким сопротивлением вне микросхемы.

«Аппаратные» (в отличие от программно-программируемых программных микропроцессоров, описанных выше) IP-ядра цифровой логики также лицензированы для фиксированных функций, таких как аудиодекодирование MP3 , 3D- графический процессор , кодирование / декодирование цифрового видео и другие функции DSP, такие как FFT , DCT или Кодирование Витерби .

Продавцы [ править ]

Размер разработчиков и лицензиаров ядра IP варьируется от частных лиц до многомиллиардных корпораций. Разработчики, а также их заказчики, производящие микросхемы, находятся по всему миру.

Интеллектуальная собственность Silicon ( SIP , Silicon IP ) - это бизнес-модель для полупроводниковой компании, при которой она выдает клиенту лицензию на свою технологию в качестве интеллектуальной собственности . Компания с такой бизнес-моделью - это компания-производитель полупроводников , которая не предоставляет физических микросхем своим клиентам, а просто способствует их разработке, предлагая определенные функциональные блоки. Обычно заказчиками являются компании, производящие полупроводники, или разработчики модулей, занимающиеся разработкой полупроводников внутри компании. Компания, желающая изготовить сложное устройство, может получить лицензию на использование проверенных функциональных блоков другой компании, таких как микропроцессор.вместо разработки собственного дизайна, что потребовало бы дополнительных затрат времени и средств.

Индустрия кремниевых IP-адресов стабильно растет на протяжении многих лет. К наиболее успешным компаниям Silicon IP, часто называемым Star IP, относятся ARM Holdings и Rambus . По оценкам Gartner Group, общая стоимость продаж интеллектуальной собственности на кремниевые кристаллы в 2005 г. составила 1,5 миллиарда долларов США с ожидаемым ежегодным ростом примерно на 30%. [2] [ требуется обновление ]

Защита IP [ править ]

Упрочнение IP - это процесс повторного использования проверенных разработок и создания быстро выводимых на рынок решений с низким уровнем риска при производстве, обеспечивающих интеллектуальную собственность (IP) (или кремниевую интеллектуальную собственность) ядер дизайна.

Например, цифровой сигнальный процессор (DSP) разработан на основе программных ядер формата RTL , и он может быть ориентирован на различные технологии или различные литейные производства для получения различных реализаций. Процесс усиления защиты IP - это от программного ядра до создания многократно используемых аппаратных (аппаратных) ядер [ требуется пояснение ] . Основным преимуществом такого жесткого IP является его предсказуемые характеристики, поскольку IP был предварительно реализован, в то время как он предлагает гибкость программных ядер. Он может поставляться с набором моделей для моделирования для проверки.

Усилия по укреплению мягкой интеллектуальной собственности требуют использования качества целевой технологии, целей дизайна и методологии. Жесткий IP был проверен в целевой технологии и приложении. Например, считается, что аппаратное ядро ​​в формате GDS II очищается в DRC ( проверка правил проектирования ) и LVS (см. Раздел «Макет против схемы» ). Т.е. может пройти все правила, необходимые для изготовления на конкретном литейном производстве. [3] [4]

Бесплатно и с открытым исходным кодом [ править ]

Примерно с 2000 года OpenCores.org предлагает различные программные ядра, в основном написанные на VHDL и Verilog . Все эти ядра предоставляются по лицензии на бесплатное программное обеспечение с открытым исходным кодом, такой как Стандартная общественная лицензия GNU или лицензии типа BSD . [5] С 2010 г. такие инициативы, как RISC-V , привели к значительному увеличению количества доступных IP-ядер (почти 50 к 2019 г. [6] ). Это помогло расширить сотрудничество в разработке безопасных и эффективных проектов. [7]

Агрегаторы [ править ]

Агрегаторы интеллектуальной собственности ведут каталоги ядер от различных поставщиков и предоставляют своим клиентам услуги поиска и маркетинга.

  • Дизайн и повторное использование [8]
  • ChipEstimate [9]
  • ChipPath [10]

См. Также [ править ]

  • Список поставщиков полупроводниковых IP-ядер
  • Полупроводник
  • Завод по производству полупроводников (литейный цех)
  • Маска работа
  • Производство без фабл
  • Защита конструкции макета интегральной схемы

Ссылки [ править ]

  1. Кларк, Питер (23 апреля 2014 г.). «Cadence входит в четверку лидеров в рейтинге полу IP ядра» . EE Times Europe (нет данных). Питер Кларк. European Business Press SA. Архивировано из оригинала на 2 августа 2014 года . Проверено 14 июля 2014 года .
  2. ^ Kiat Сенг Ео, Ким Tean Ng, Zhi Hui Kong интеллектуальной собственности для интегральных схем , J. Ross Publishing, 2010 ISBN 1-932159-85-1 
  3. ^ http://www.eettaiwan.com/ART_8800406094_480102_AN_71148c3a.HTM Архивировано 4 августа 2009 г. на веб-сайте Wayback Machine по усилению защиты IP от eetTaiwan. Мертвая ссылка 2011 06 30
  4. ^ http://ic.hkstp.org/ip_mpw_ip.html [ постоянная мертвая ссылка ] Подробнее об усилении защиты IP. Организация (созданная правительством) предоставляет услуги по усилению защиты IP и интеграции IP. На китайском.
  5. ^ "Лицензирование :: OpenCores" . opencores.org . Проверено 14 ноября 2019 .
  6. ^ «Обзор ядер RISC-V и SoC» . Фонд RISC-V . Проверено 8 октября 2019 .
  7. ^ Daunhauer, Денис. «Актуальность ядер интеллектуальной собственности с открытым исходным кодом для развития Интернета вещей» . Блог Интернета вещей . Делойт . Проверено 8 октября 2019 .
  8. ^ Дизайн и повторное использование
  9. ^ ChipEstimate
  10. ^ Free ChipPath IP-каталог. Архивировано 17 июля 2014 г. в archive.today.

Внешние ссылки [ править ]

  • Открытые ядра «спроектируйте и опубликуйте ядро» (по лицензии LGPL)
  • Ядра Altera Бесплатные эталонные IP-ядра для ПЛИС
  • Лицензирование полупроводниковых ядер с открытым исходным кодом , 25 Harvard Journal of Law & Technology 131 (2011) Статья с анализом законодательства, технологий и бизнеса полупроводниковых ядер с открытым исходным кодом