Aldec, Inc. - это частная компания по автоматизации проектирования электроники, базирующаяся в Хендерсоне, Невада, которая предоставляет программное и аппаратное обеспечение, используемое для создания и проверки цифровых проектов, ориентированных на технологии FPGA и ASIC .
Тип | Частный |
---|---|
Промышленность | EDA |
Основан | 1984 |
Штаб-квартира | Хендерсон, Невада , США |
Продукты | Active-HDL, ALINT-PRO, Riviera-PRO, Spec-TRACER, RTAX / RTSX Prototyping, HES-DVM, HES-7, TySOM |
Веб-сайт | aldec.com |
Как член Accellera и ассоциации стандартов IEEE Aldec активно участвует в процессе разработки новых стандартов и обновления существующих стандартов (например, VHDL , SystemVerilog ). Aldec предоставляет механизм моделирования HDL для других инструментов EDA, таких как Altium Designer, и связывает специальную версию своих инструментов с программным обеспечением поставщиков FPGA , таким как Lattice . [1]
История
- Компания Aldec была основана в 1984 году доктором Стэнли М. Хидьюком.
- В 1985 году компания выпустила свой первый продукт: симулятор SUSIE на основе MS-DOS . В течение следующих нескольких лет несколько версий продукта использовались в качестве сопутствующих имитаторов для популярных инструментов ввода схем, таких как OrCAD .
- Чувствуя растущую популярность Microsoft Windows, ALDEC перенесла свой симулятор на эту платформу и добавила инструмент для ввода схем и управления проектированием. Новый программный пакет был выпущен в 1992 году как Active-CAD (некоторые младшие версии пакета какое-то время продавались под брендом Susie-CAD ). Одной из отличительных особенностей Active-CAD была возможность мгновенной передачи изменений схемы в симулятор, что позволяет быстро проверить поведение модифицированной схемы.
- В 1996 году Aldec подписал соглашение с Xilinx , разрешающее распространение версии Active-CAD только для Xilinx под названием Foundation .
- В то время как VHDL и Verilog поддерживались Active-CAD в виде схемных макросов, выпуск Active-VHDL в 1997 году ознаменовал переход от проектирования на основе списков соединений к проектированию на основе HDL. После добавления поддержки Verilog Active-VHDL был переименован в Active-HDL и по-прежнему доступен (по состоянию на 2020 год).
- В 2000 году ALDEC выпустила высокопроизводительный симулятор HDL, работающий не только под Windows , но и на платформах Solaris и Linux . [2]
- В 2001 году компания ALDEC добавила оборудование в свою линейку продуктов: платформу HES (Hardware Embedded Simulation), которая позволяет аппаратное ускорение моделирования HDL и инкрементного прототипирования оборудования.
- 2003 год знаменует собой выпуск Riviera-PRO, поддерживающего проверку на основе утверждений ( OpenVera , PSL и SystemVerilog могут использоваться для записи свойств, утверждений и покрытия).
- Поддержка SystemC и часть SystemVerilog без подтверждения была добавлена в 2004 году. Интерфейсы для MATLAB и Simulink впервые появились в инструментах Aldec в 2005 году.
- В 2006 году «Ривьера-ПРО» стала первым симулятором, поддерживающим Open IP Encryption Initiative от Synplicity . [3]
- Вдохновленный запросами пользователей Verilog, ALDEC выпустила в 2007 году усовершенствованный настраиваемый пользователем инструмент lint , реализующий правила, созданные STARC - японским консорциумом основных поставщиков микросхем .
- В 2008 году выпускает ALINT: Design Rule Checker (STARC - Японский консорциум 11 компаний ASIC).
- 2010, выпускает поддержку VHDL IEEE 1076-2008.
- В 2010 году Aldec Active-HDL стал лучшим инструментом для проектирования и моделирования ПЛИС в Китае.
- В 2011 году Aldec предоставляет поддержку UVM 1.0, OVM 2.1.2 и VMM 1.1.1a, выпускает эмулятор проектирования с частотой 4 МГц и становится лучшим поставщиком платформы проектирования и проверки FPGA в Китае.
- В 2012 году Aldec выходит на рынок прототипирования SoC / ASIC с HES-7 и совместно запускает OSVVM, VHDL Verification.
- В 2013 году Aldec выпускает Spec-TRACER Requirements Lifecycle Management.
- В 2015 году Aldec выпускает ALINT-PRO с проверкой CDC.
- В 2016 году Aldec выпускает линейку продуктов TySOM для разработки встраиваемых систем с использованием SoC FPGA.
- В 2020 году выпускается поддержка VHDL IEEE 1076-2019.
Продукты
Программное обеспечение
- Active-HDL - среда разработки FPGA, построенная на симуляторе HDL общего ядра. Поддерживает текстовые и графические инструменты ввода и отладки проекта, позволяет моделировать смешанные языки (VHDL / Verilog / EDIF / SystemC / SystemVerilog) и предоставляет унифицированный интерфейс для различных инструментов синтеза и реализации. Также поддерживает проверку на основе утверждений с помощью операторов Open Vera, PSL или Systemverilog Assertion. Доступны специальные версии программного обеспечения, которые поддерживают только одного производителя FPGA, например Active-HDL Lattice Edition . Доступно только на платформе MS Windows.
- Riviera-PRO - симулятор HDL высокого класса, ориентированный на ASIC и большие конструкции FPGA. Riviera-PRO расширяет возможности моделирования Active-HDL за счет поддержки расширенных методологий проверки, таких как линтинг, функциональный охват, OVM и UVM , аппаратное ускорение и прототипирование. Riviera-PRO - это новое поколение инструмента, известного как Riviera-Classic, которое доступно в 32-битной и 64-битной версиях для MS Windows и Linux.
- HES-DVM - решение, позволяющее ускорить моделирование HDL (сокращение времени проверки от 10 до 50 раз), эмуляцию всего проекта и совместное моделирование аппаратного и программного обеспечения (полезно при разработке встроенных систем ).
- ALINT-PRO - единый фреймворк для проверки / линтинга правил проектирования и анализа CDC. ALINT-PRO может проводить обширный текстовый анализ отдельных источников проектов Verilog, VHDL и SystemVerilog, а также расширенные проверки всей иерархии проектов. Доступно несколько наборов настраиваемых предопределенных правил, а с помощью предоставленного API можно создавать новые настраиваемые правила . Встроенная методология Phase-Based Linting позволяет быстрее и эффективнее проверять правила. ALINT-PRO плавно поддерживает выполнение проверок правил для проектов, нацеленных на реализацию FPGA с использованием технологий Xilinx , Intel , Microsemi и Lattice с минимальной настройкой
- Spec-TRACER - приложение для управления жизненным циклом унифицированных требований, разработанное специально для проектов FPGA и ASIC. Облегчает сбор требований, управление, анализ, отслеживание и отчетность; интегрируется с инструментами проектирования и моделирования HDL на базе Windows.
- IP-продукты - набор универсальных блоков интеллектуальной собственности, созданных Aldec и его партнерами, прошедших валидацию в средах Active-HDL и Riviera-PRO.
Аппаратное обеспечение
- HES-7 - решение для создания прототипов ASIC на базе FPGA с высокой емкостью и плотностью . С помощью макетных плат Xilinx Virtex-7 на базе FPGA HES-7 позволяет тестировать до 24 миллионов вентилей ASIC.
- Microsemi RTAX / RTSX Prototyping - эффективный способ создания прототипов конструкций с радиационно-стойкими FPGA с использованием макетных плат, совместимых с посадочными местами , с перепрограммируемыми микросхемами на основе флэш-памяти наверху. Решение включает в себя дополнительное программное обеспечение для трансляции списков соединений.
- Система тестирования на соответствие DO-254 (CTS) - это полное решение для проверки, которое может гарантировать, что ПЛИС в вашей системе соответствует требованиям DO-254 / ED80. CTS дает пользователю возможность выполнять расширенный способ аппаратного моделирования вместо традиционного аппаратного тестирования. В качестве тестовых векторов для аппаратного моделирования вы можете повторно использовать один и тот же тестовый стенд со 100% -ным охватом кода, полученным из RTL-моделирования. Повторное использование одного и того же средства тестирования позволяет с помощью аппаратной проверки легко обеспечить отслеживаемость требований. Вы можете выполнять аппаратное моделирование со скоростью на целевом устройстве. CTS также позволяет легко сравнивать и отлаживать результаты аппаратного моделирования и моделирования HDL с помощью формата сигнала.
- TySOM - встроенные платы для разработки и дочерние карты FMC на базе серии Xilinx Zynq-7000, предназначенные для Интернета вещей, ADAS и промышленного машинного зрения.
Образование
Aldec предоставляет полнофункциональные версии своего программного обеспечения со значительной скидкой для образовательных учреждений по всему миру ( Kumaon Engineering College , Национальный технологический университет ).
Aldec также предлагает специальную студенческую версию Active-HDL, которую можно загрузить с веб-сайта Aldec. Student-Edition имеет ограниченные возможности дизайна и некоторое сокращение функциональности программы, но поддерживает оба языка дизайна (Verilog или VHDL).
Компания также поддерживает местное образование - в 1999 году она внесла свой вклад в создание «Лаборатории цифрового дизайна Aldec» в UNLV . [4]
Программное обеспечение Aldec упаковано в несколько книг по электронному дизайну (например, «Цифровой дизайн: принципы и практика» , «СОВРЕМЕННЫЙ ЛОГИЧЕСКИЙ ДИЗАЙН» ).
Студенческая версия Active-HDL была первым симулятором HDL, продаваемым в Walmart . [5]
Смотрите также
- VHDL
- Verilog
- SystemVerilog
- SystemC
Рекомендации
- ^ EN-genius Programmable logic ZONE, "Альянс решеток и форм Aldec для проектирования и проверки проектирования ПЛИС"
- ↑ Ричард Геринг, «Aldec выпускает симулятор многоязычия на базе Linux» , EETimes.com, 13 ноября 2000 г.
- ^ Кристин Эванс-Пью, «Защита вашего IP стала еще проще». Архивировано 18 октября 2006 г.в Wayback Machine , параграф 11, Electronics Weekly, 13 октября 2006 г.
- ^ Сотрудники ECE-UNLV, "ALDEC, (...) играет значительную роль в программах ECE" Архивировано 20 июля 2006 г.в Wayback Machine , стр. 3, Новости ECE-UNLV, том 5, 2005
- ^ Персонал EDN Online, «Программное обеспечение EDA, проданное в Walmart». Архивировано 27сентября 2007 г.в Wayback Machine , EDN, 20 февраля 2006 г.
Внешние ссылки
- Официальный сайт