Из Википедии, бесплатной энциклопедии
Перейти к навигации Перейти к поиску

В электронике и особенно синхронных цифровых схем , A тактовый сигнал (исторически известный также как логика биений [1] ) осциллирует между высоким и низким состоянием и используется как метроном для координации действий цифровых схем .

Тактовый сигнал вырабатывается тактовым генератором . Хотя более сложные механизмы используются, наиболее распространенный тактовый сигнал в виде прямоугольной волны с 50% рабочим циклом , как правило , с фиксированной, постоянной частотой. Цепи, использующие тактовый сигнал для синхронизации, могут становиться активными либо по переднему фронту, либо по спаду, либо, в случае удвоенной скорости передачи данных , как по нарастающему, так и по спадающему фронту тактового цикла.

Цифровые схемы [ править ]

Большинство интегральных схем (ИС) достаточной сложности используют тактовый сигнал для синхронизации различных частей схемы, циклически меняясь со скоростью, меньшей, чем внутренние задержки распространения в наихудшем случае . В некоторых случаях для выполнения предсказуемого действия требуется более одного такта. По мере того, как ИС становятся более сложными, проблема обеспечения точных и синхронизированных часов для всех схем становится все более сложной. Ярким примером таких сложных микросхем является микропроцессор , центральный компонент современных компьютеров, который использует часы от кварцевого генератора . Единственным исключением являются асинхронные схемы, такие как асинхронные процессоры .

Тактовый сигнал также может быть стробированным, то есть в сочетании с управляющим сигналом, который включает или отключает тактовый сигнал для определенной части схемы. Этот метод часто используется для экономии энергии за счет эффективного отключения частей цифровой схемы, когда они не используются, но требует увеличения сложности временного анализа.

Однофазные часы [ править ]

Большинство современных синхронных схем используют только «однофазные часы» - другими словами, все тактовые сигналы (эффективно) передаются по 1 проводу.

Двухфазные часы [ править ]

В синхронных схемах «двухфазные часы» относятся к тактовым сигналам, распределенным по 2 проводам, каждый с неперекрывающимися импульсами. Традиционно один провод называется «фаза 1» или «φ1», другой провод передает сигнал «фаза 2» или «φ2». [2] [3] [4] [5] Поскольку две фазы гарантированно не перекрываются, для хранения информации о состоянии могут использоваться стробируемые защелки, а не триггеры , запускаемые по фронту.до тех пор, пока входы защелок на одной фазе зависят только от выходов защелок на другой фазе. Поскольку стробирующая защелка использует только четыре затвора по сравнению с шестью затворами для триггера, запускаемого фронтом, двухфазная тактовая частота может привести к конструкции с меньшим общим числом затворов, но обычно с некоторым ухудшением сложности конструкции и производительности.

МОП-микросхемы обычно использовали двойные тактовые сигналы (двухфазные тактовые импульсы) в 1970-х годах. Они были сгенерированы извне для микропроцессоров 6800 и 8080. [6] Следующее поколение микропроцессоров включало генерацию тактовой частоты на кристалле. 8080 использует тактовую частоту 2 МГц, но производительность обработки аналогична 1 МГц 6800. 8080 требует больше тактовых циклов для выполнения инструкции процессора. 6800 имеет минимальную тактовую частоту 100 кГц, а 8080 имеет минимальную тактовую частоту 500 кГц. К 1976 году были выпущены более высокоскоростные версии обоих микропроцессоров [7].

6501 требует внешнего тактового генератора 2-фазы. MOS Technology 6502 использует ту же логику , 2-фазную внутренне, но и включает в себя тактовый генератор двухфазного на чипе, поэтому требуется только один вход фазы синхронизации, что упрощает конструкцию системы.

4-фазные часы [ править ]

Некоторые ранние интегральные схемы используют четырехфазную логику , требующую четырехфазного тактового входа, состоящего из четырех отдельных, неперекрывающихся тактовых сигналов. [8] Это было особенно распространено среди ранних микропроцессоров, таких как National Semiconductor IMP-16 , Texas Instruments TMS9900 и набор микросхем Western Digital WD16, используемых в DEC LSI-11.

Четырехфазные тактовые генераторы редко использовались в новых процессорах CMOS, таких как микропроцессор DEC WRL MultiTitan. [9] и в технологии Fast14 компании Intrinsity . Большинство современных микропроцессоров и микроконтроллеров используют однофазные часы.

Множитель часов [ править ]

Многие современные микрокомпьютеры используют « умножитель тактовой частоты », который умножает внешнюю тактовую частоту более низкой частоты на соответствующую тактовую частоту микропроцессора. Это позволяет ЦП работать с гораздо более высокой частотой, чем остальная часть компьютера, что дает прирост производительности в ситуациях, когда ЦП не нужно ждать внешнего фактора (например, памяти или ввода / вывода ).

Динамическое изменение частоты [ править ]

Подавляющему большинству цифровых устройств не требуются часы с фиксированной постоянной частотой. Пока соблюдаются минимальный и максимальный периоды тактовых импульсов, время между фронтами тактовых импульсов может широко варьироваться от одного фронта к другому и обратно. Такие цифровые устройства работают так же хорошо с генератором тактовых импульсов, который динамически изменяет свою частоту, например, генерация тактовых импульсов с расширенным спектром , динамическое масштабирование частоты и т. Д. Устройства, использующие статическую логику , даже не имеют максимального периода тактовой частоты (или, другими словами, минимальная тактовая частота); такие устройства могут быть замедлены и приостановлены на неопределенное время, а затем возобновлены на полной тактовой частоте в любое более позднее время.

Другие схемы [ править ]

Некоторые чувствительные схемы со смешанными сигналами , такие как прецизионные аналого-цифровые преобразователи , в качестве тактовых сигналов используют синусоидальные волны, а не прямоугольные, поскольку прямоугольные волны содержат высокочастотные гармоники, которые могут мешать аналоговой схеме и вызывать шум . Такие синусоидальные часы часто являются дифференциальными сигналами , потому что этот тип сигнала имеет вдвое большую скорость нарастания и, следовательно, половину неопределенности синхронизации, чем несимметричный сигнал с тем же диапазоном напряжений. Дифференциальные сигналы излучают менее сильно, чем одиночная линия. В качестве альтернативы можно использовать одиночную линию, экранированную линиями питания и заземления.

В схемах CMOS емкости затвора постоянно заряжаются и разряжаются. Конденсатор не рассеивает энергию, но энергия теряется в управляющих транзисторах. В обратимых вычислениях , индукторы могут быть использованы для хранения этой энергии и уменьшить потери энергии, но они имеют тенденцию быть довольно большим. В качестве альтернативы, используя синусоидальные часы, передающие вентили CMOS и методы энергосбережения, можно снизить требования к мощности. [ необходима цитата ]

Распространение [ править ]

Самый эффективный способ передать тактовый сигнал каждой части микросхемы, которая в нем нуждается, с наименьшим перекосом, - это металлическая сетка. В большом микропроцессоре мощность, используемая для управления тактовым сигналом, может составлять более 30% от общей мощности, используемой всей микросхемой. Вся конструкция с воротами на концах и всеми усилителями между ними должна загружаться и разгружаться каждый цикл. [10] [11] Для экономии энергии стробирование часов временно отключает часть дерева.

Сеть распределения часов (или дерево часов , когда эта сеть образует дерево) распределяет тактовый сигнал (сигналы) из общей точки на все элементы, которые в нем нуждаются. Поскольку эта функция жизненно важна для работы синхронной системы, большое внимание было уделено характеристикам этих тактовых сигналов и электрических сетей, используемых в их распределении. Тактовые сигналы часто рассматриваются как простые управляющие сигналы; однако у этих сигналов есть некоторые очень особые характеристики и атрибуты.

Тактовые сигналы обычно загружаются с наибольшим разветвлением и работают на самых высоких скоростях любого сигнала в синхронной системе. Поскольку сигналы данных снабжаются временной привязкой с помощью тактовых сигналов, формы тактовых сигналов должны быть особенно чистыми и четкими. Кроме того, на эти тактовые сигналы особенно влияет масштабирование технологий (см . Закон Мура ) в этом длинном глобальном межсоединении.линии становятся значительно более резистивными при уменьшении размеров линий. Это повышенное сопротивление линии является одной из основных причин возрастающего значения распределения тактовых импульсов для синхронной работы. Наконец, контроль любых различий и неопределенности во времени прихода тактовых сигналов может серьезно ограничить максимальную производительность всей системы и создать условия катастрофической гонки, в которых неправильный сигнал данных может зафиксироваться в регистре.

Большинство синхронных цифровых систем состоят из каскадных банков последовательных регистров с комбинационной логикой между каждым набором регистров. В функциональные требования цифровой системы удовлетворены логических этапов. Каждый логический этап вносит задержку, которая влияет на временные характеристики, и временные характеристики цифрового проекта могут быть оценены относительно временных требований с помощью временного анализа. Часто необходимо уделять особое внимание соблюдению сроков. Например, глобальные требования к производительности и локальной синхронизации могут быть удовлетворены путем тщательной вставки конвейерных регистров в равные промежутки времени для удовлетворения критических временных ограничений наихудшего случая.. Правильный дизайн сети распределения часов помогает обеспечить выполнение критических требований по времени и отсутствие условий гонки (см. Также рассогласование часов ).

Компоненты задержки, составляющие общую синхронную систему, состоят из следующих трех отдельных подсистем: элементов памяти, логических элементов и схемы синхронизации и распределительной сети.

Новые структуры в настоящее время находятся в стадии разработки, чтобы решить эти проблемы и предоставить эффективные решения. Важные области исследований включают методы резонансной синхронизации, встроенные оптические соединения и методы локальной синхронизации.

См. Также [ править ]

  • Тактовая частота
  • Автоматизация электронного проектирования
  • Расчетный поток (EDA)
  • Дизайн интегральной схемы
  • Самосинхронизирующийся сигнал
  • Четырехфазная логика
  • Джиттер
  • Бит-синхронная работа
  • Импульсный сигнал
  • Пересечение часового домена

Ссылки [ править ]

  1. ^ FM1600B Микросхема компьютера Ferranti Digital Systems (PDF) . Бракнелл, Беркшир, Великобритания: Ferranti Limited , Департамент цифровых систем. Октябрь 1968 года [сентябрь 1968 года]. Перечислите DSD 68/6. Архивировано (PDF) из оригинала на 2020-05-19 . Проверено 19 мая 2020 .
  2. Двухфазные часы. Архивировано 9 ноября 2007 года на Wayback Machine.
  3. ^ Двухфазное неперекрывающихся тактовый генератор , Tams-www.informatik.uni-hamburg.de, архивируются с оригинала на 2011-12-26 , извлекаться 2012-01-08
  4. ^ Основные понятия в цифровой обработки изображений - Две фазы CCD Clocking , Micro.magnet.fsu.edu , извлекаться 2012-01-08
  5. ^ Cell cgf104: Двухфазный Неперекрывающиеся тактовый генератор , Hpc.msstate.edu, архивируются с оригинала на 2012-02-08 , извлекаться 2012-01-08
  6. ^ «Как управлять микропроцессором» . Электроника . Нью-Йорк: Макгроу-Хилл. 49 (8): 159. 15 апреля 1976 г.Отдел компонентов Motorola продавал гибридные ИС, в состав которых входил кварцевый генератор. Эти микросхемы генерировали двухфазные неперекрывающиеся сигналы, необходимые для 6800 и 8080. Позже Intel произвела тактовый генератор 8224, а Motorola произвела MC6875. Intel 8085 и Motorola 6802 включают эту схему на микросхеме микропроцессора.
  7. ^ "Intel's Higher Speed ​​8080 μP" (PDF) . Микрокомпьютерный дайджест . Купертино, Калифорния: Microcomputer Associates. 2 (3): 7. Сентябрь 1975 г.
  8. ^ Основные понятия в области цифровой обработки изображений - Четыре фазы CCD Clocking , Micro.magnet.fsu.edu , извлекаться 2012-01-08
  9. ^ Норман П. Джуппи и Джеффри YF Тан.«Поддерживаемый 32-разрядный CMOS-микропроцессор на 20 MIPS с высоким соотношением стабильной и максимальной производительности» . 1989. CiteSeer x 10.1.1.85.988 p. 10.
  10. Ананд Лал Шимпи (2008), Intel Atom Architecture: Путешествие начинается
  11. ^ Пол В. Болотов (2007), Alpha: история в фактах и комментариях , в архиве с оригинала на 2012-02-18 , извлеченной 2012-01-03 , мощности , потребляемой подсистемой тактовой EV6 составляла около 32% от общая мощность ядра. Для сравнения, это было около 25% для EV56, около 37% для EV5 и около 40% для EV4.

Дальнейшее чтение [ править ]

  • Эби Г. Фридман (ред.), Сети распределения часов в схемах и системах СБИС , ISBN 0-7803-1058-6 , IEEE Press. 1995 г. 
  • Эби Г. Фридман , "Сети распределения часов в синхронных цифровых интегральных схемах" , Труды IEEE , Vol. 89, No. 5, pp. 665–692, май 2001 г.
  • "ISPD 2010 High Performance Clock Network Synthesis Contest" , Международный симпозиум по физическому проектированию, Intel, IBM, 2010.
  • Д.-Дж. Ли, "Синтез высокопроизводительной и маломощной сети тактовых сигналов в присутствии вариаций" , доктор философии. диссертация, Мичиганский университет, 2011.
  • И.Л. Марков, Д.-Ж. Ли, "Алгоритмическая настройка деревьев часов и производных недревесных структур" , в Proc. Int'l. Конф. Comp.-Aided Design (ICCAD), 2011.
  • Оклобджия В.Г., Стоянович В.М., Маркович Д.М. и Недович Н.М., Синхронизация цифровых систем: аспекты высокой производительности и малой мощности , ISBN 0-471-27447-X , IEEE Press / Wiley-Interscience, 2003. 
  • Митч Дейл, «Сила RTL-тактового стробирования» , « Проектирование электронных систем, включая проектирование микросхем» , 20 января 2007 г.

Взято из Эби Фридман колонке «s в ACM Сигда электронный бюллетень по Игорь Марков
Первоначальный текст доступен на https://web.archive.org/web/20100711135550/http://www.sigda.org/newsletter/2005/ eNews_051201.html