Из Википедии, свободной энциклопедии
Перейти к навигации Перейти к поиску
Четырехфазные детекторы. Сигнал идет слева направо. В верхнем левом углу находится ячейка Гильберта , которая хорошо работает для синусоидальных и прямоугольных волн , но хуже для импульсов. В случае прямоугольных волн он действует как вентиль XOR, который также может быть сделан из вентилей NAND. В середине слева два фазовых детектора: добавление обратной связи и удаление одного логического элемента И-НЕ дает частотно-временной детектор. Линия задержки избегает мертвой зоны. Справа - нагнетательный насос с фильтром на выходе.

Фазовый детектор или фазовый компаратор является частота смесителя , аналоговый умножитель или логической схемой , которая генерирует сигнал напряжения , который представляет собой разность фаз между двумя входами сигналов. Это важный элемент цепи фазовой автоподстройки частоты (ФАПЧ).

Обнаружение разности фаз очень важно во многих приложениях, таких как управление двигателями , радары и телекоммуникационные системы, сервомеханизмы и демодуляторы .

Типы [ править ]

Фазовые детекторы для цепей фазовой автоподстройки частоты можно разделить на два типа. [1] Детектор типа I предназначен для управления аналоговыми сигналами или прямоугольными цифровыми сигналами и генерирует выходной импульс с разностной частотой. Детектор типа I всегда генерирует выходной сигнал, который необходимо отфильтровать для управления генератором, управляемым напряжением (ГУН) контура фазовой автоподстройки частоты . Детектор типа II , чувствителен только к относительной синхронизации краев входных и эталонных импульсов и вырабатывает постоянный выходной сигнал , пропорциональный разности фаз , когда оба сигнала находятся на одной и той же частоте. Этот выход не будет вызывать пульсацию управляющего напряжения ГУН.

Аналоговый фазовый детектор [ править ]

Фазовому детектору необходимо вычислить разность фаз двух своих входных сигналов. Пусть α - фаза первого входа, а β - фаза второго. Однако фактическими входными сигналами фазового детектора являются не α и β, а синусоиды, такие как sin (α) и cos (β). В общем, вычисление разности фаз включает вычисление арксинуса и арккосинуса каждого нормализованного входа (для получения постоянно увеличивающейся фазы) и выполнение вычитания. Такой аналоговый расчет сложен. К счастью, расчет можно упростить, используя некоторые приближения.

Предположим, что разность фаз будет небольшой (например, намного меньше 1 радиана). Малоугловое приближение для функции синуса и синус угла Добавления формулы выхода:

Выражение предполагает, что квадратурный фазовый детектор может быть создан путем суммирования выходных сигналов двух умножителей. Квадратурные сигналы могут формироваться схемами с фазовым сдвигом. Двумя распространенными реализациями умножителей являются двойной сбалансированный диодный смеситель, диодное кольцо и четырехквадрантный умножитель, ячейка Гилберта .

Вместо использования двух умножителей более распространенный фазовый детектор использует один умножитель и другую тригонометрическую идентичность:

Первый член обеспечивает желаемую разность фаз. Второе слагаемое синусоида на удвоенной опорной частоте, так что он может быть отфильтрован. В случае общих сигналов выход фазового детектора описывается характеристикой фазового детектора .

Детектор на основе смесителя (например, двухбалансный смеситель на основе диодов Шоттки ) обеспечивает «максимальные характеристики минимального уровня фазового шума» и «чувствительность системы». поскольку он не создает конечной длительности импульса на выходе фазового детектора. [2] Еще одним преимуществом PD на основе смесителя является его относительная простота. [2] Как квадратурный, так и простой фазовый детектор с умножителем имеют выходной сигнал, который зависит от входных амплитуд, а также от разности фаз. На практике входные амплитуды входных сигналов нормализуются перед вводом в детектор, чтобы устранить зависимость амплитуды.

Цифровой фазовый детектор [ править ]

Пример цифрового фазочастотного детектора CMOS. Входы R и V, а выходы U p и D n подаются на зарядный насос.

Фазовый детектор, подходящий для сигналов прямоугольной формы, может быть выполнен с логическим элементом исключающее ИЛИ (XOR) . Когда два сравниваемых сигнала полностью синфазны, на выходе логического элемента XOR будет постоянный уровень, равный нулю. Когда два сигнала различаются по фазе на 1 °, выход логического элемента XOR будет высоким в течение 1/180 каждого цикла - части цикла, в течение которой два сигнала различаются по значению. Когда сигналы различаются на 180 °, то есть один сигнал высокий, а другой низкий, и наоборот, выход логического элемента XOR остается высоким на протяжении каждого цикла. Для этого фазового детектора требуются входы, которые представляют собой симметричные прямоугольные волны или почти такие.

Детектор исключающее также сравнивает с аналоговым микшером в том , что он блокирует рядом с разницей в 90 ° фазы и имеет пульсовой волны выходной сигнал с удвоенной опорной частоты. Выходной рабочий цикл изменяется пропорционально разности фаз. Применение выхода логического элемента XOR к фильтру нижних частот приводит к аналоговому напряжению, которое пропорционально разности фаз между двумя сигналами. Остальные его характеристики очень похожи на аналоговый микшер по диапазону захвата, времени захвата, эталонным паразитным помехам и требованиям фильтра нижних частот.

Цифровые фазовые детекторы также могут быть основаны на схеме выборки и удержания, накачке заряда или логической схеме, состоящей из триггеров . Когда фазовый детектор на основе логических вентилей используется в системе ФАПЧ, он может быстро заставить ГУН синхронизироваться с входным сигналом, даже если частота входного сигнала существенно отличается от начальной частоты ГУН. Такие фазовые детекторы также обладают другими желательными свойствами, такими как лучшая точность, когда есть только небольшая разность фаз между двумя сравниваемыми сигналами, и превосходный диапазон втягивания .

Частотно-фазовый детектор [ править ]

Фазовый детектор частоты ( ПФО ) является асинхронной цепи первоначально сделан из четырех триггеров (т.е. детекторы фазовой частоты , найденные как в RCA CD4046 и Моторола MC4344 ИС введены в 1970). Логика определяет, какой из двух сигналов имеет переход через ноль раньше или чаще. При использовании в приложении ФАПЧ блокировка может быть достигнута даже при отключенной частоте.

PFD улучшает диапазон втягивания и время захвата по сравнению с более простыми конструкциями фазовых детекторов, такими как умножители или вентили XOR. Эти конструкции хорошо работают, когда две входные фазы уже близки (близкие или заблокированы), но плохо работают, когда разность фаз слишком велика. Когда разность фаз слишком велика (что происходит, когда мгновенная разность частот велика), тогда знак усиления контура может измениться и начать отводить ГУН от блокировки на короткие промежутки времени. Конструкция PFD позволяет избежать этой проблемы. Преимущество PFD заключается в том, что он дает выходной сигнал даже тогда, когда два сравниваемых сигнала различаются не только по фазе, но и по частоте. Детектор фазовой частоты предотвращает состояние "ложной синхронизации" в приложениях ФАПЧ, в которых ФАПЧ синхронизируется с неправильной фазой входного сигнала или с неправильной частотой (например,, гармоника входного сигнала).[3]

A релейности расходные материалы фазового детектора накачки заряда импульсов тока с фиксированной суммарным зарядом, положительным или отрицательным, в конденсаторе , действующей в качестве интегратора . Фазовый детектор для импульсной накачки заряда всегда должен иметь зону нечувствительности, в которой фазы входов достаточно близки, чтобы детектор сработал либо обе, либо ни одну из зарядовых насосов, чтобы не получить полного эффекта. Фазовые детекторы взрыва просты, но связаны со значительным минимальным межпиковым джиттером из-за дрейфа в пределах мертвой зоны.

В 1976 году было показано, что, используя конфигурацию фазового детектора с тремя состояниями (с использованием только двух триггеров ) вместо исходной конфигурации RCA / Motorola с двенадцатью состояниями, эту проблему можно элегантно преодолеть. [ необходима цитата ] Для других типов фазочастотных детекторов существуют другие, хотя, возможно, менее изящные решения явления мертвой зоны. [3] Необходимы другие решения, поскольку трехуровневый фазочастотный детектор не работает для определенных приложений, включающих рандомизированное ухудшение сигнала, которое может быть обнаружено на входах некоторых систем регенерации сигнала (например, схем восстановления тактовой частоты ). [4]

Пропорциональный фазовый детектор используется заряд насос , который поставляет взимать суммы пропорционально обнаружена ошибка фазы. У некоторых есть мертвые зоны, а у некоторых нет. В частности, некоторые конструкции производят управляющие импульсы как «вверх», так и «вниз», даже если разность фаз равна нулю. Эти импульсы малы, номинально одинаковой длительности, и заставляют зарядную накачку генерировать импульсы положительного и отрицательного тока равного заряда, когда фаза идеально согласована. Фазовые детекторы с такой системой управления не имеют мертвой зоны и, как правило, имеют более низкое минимальное межпиковое дрожание при использовании в системах ФАПЧ.

В приложениях ФАПЧ часто требуется знать, когда контур не заблокирован. Более сложные цифровые фазочастотные детекторы обычно имеют выход, позволяющий надежно указать состояние отсутствия синхронизации.

Электронный фазовый детектор [ править ]

Некоторые методы обработки сигналов, такие как используемые в радарах, могут потребовать как амплитуду, так и фазу сигнала, чтобы восстановить всю информацию, закодированную в этом сигнале. Один из методов заключаются кормить сигнал амплитудно-ограниченным в одну порт детектора продукта и опорный сигнал в другой порт; выходной сигнал детектора будет представлять разность фаз между сигналами.

Оптические фазовые детекторы [ править ]

Фазовые детекторы также известны в оптике как интерферометры . Говорят, что импульсный ( амплитудно-модулированный ) свет измеряет фазу между несущими. Также возможно измерить задержку между огибающими двух коротких оптических импульсов с помощью взаимной корреляции в нелинейном кристалле . Кроме того, можно измерить фазу между огибающей и несущей оптического импульса , послав импульс в нелинейный кристалл . Там спектр становится шире, а по краям форма существенно зависит от фазы.

См. Также [ править ]

  • Восстановление носителя
  • Дифференциальный усилитель

Ссылки [ править ]

  1. ^ Пол Горовиц и Уинфилд Хилл, Искусство электроники 2-е изд. Издательство Кембриджского университета, Кембридж, 1989 ISBN  0-521-37095-7 стр. 644
  2. ^ a b Кроуфорд 1994 , стр. 9, 19
  3. ^ a b Crawford 1994 , стр. 17-23, 153 и несколько других страниц.
  4. ^ Wolaver 1991 , стр. 211
  • Кроуфорд, Джеймс А. (1994), Руководство по проектированию синтезатора частот , Artech House, ISBN 0-89006-440-7
  • Волавер, Дэн Х. (1991), Конструкция схемы с фазовой синхронизацией, Prentice Hall, ISBN 0-13-662743-9
  • Девон Фернандес и Санджив Манандхар (8 декабря 2003 г.). «Цифровая фазовая автоподстройка частоты» (PDF) . Проверено 25 апреля 2006 . Цитировать журнал требует |journal=( помощь )
  • Жилич, Желько (17 августа 2001 г.). "Управление синхронизацией по фазе и задержке в цифровых системах" . TechOnLine . Архивировано из оригинала на 2006-05-15 . Проверено 25 апреля 2006 .
  • Майк Кертин и Пол О'Брайен (июль – август 1999 г.). «Цепи фазовой автоподстройки частоты для высокочастотных приемников и передатчиков-3» . Аналоговый диалог . Аналоговые устройства . Проверено 25 апреля 2006 .

Дальнейшее чтение [ править ]

  • Иган, Уильям Ф. (2000), Синтез частот с помощью фазовой синхронизации (2-е изд.), John Wiley & Sons, ISBN 0-471-32104-4

Внешние ссылки [ править ]

  • Глава 8 Модуляторы и демодуляторы
  • Приложения с фазовой синхронизацией с использованием MAX9382
  • Фазовые извещатели с циклической синхронизацией по фазе